Vengineerの戯言

人生は短いけど、長いです。人生を楽しみましょう!

#技術職

SynopsysのARCがオープンソースで公開?

@Vengineerの戯言 : Twitter SystemVerilogの世界へようこそ、すべては、SystemC v0.9公開から始まった いつものように、Google君に聞いていたら、見つけた embARCというサイト。 引用です The embARC Community is a comprehensive resource for embedded d…

WSLのUbuntu 16.04LTSは、18.04LTSにアップグレードしちゃいけない

@Vengineerの戯言 : Twitter SystemVerilogの世界へようこそ、すべては、SystemC v0.9公開から始まった すっかり勘違いして、Windows 10 20H1で導入された「WSL 2」を使用する方法をやろうとして、 1903 を入れてみて、この記事にある、 ・wsl -l -v ・wsl -…

今日は、雑誌インターフェース2019年8月号の発売日です。

@Vengineerの戯言 : Twitter SystemVerilogの世界へようこそ、すべては、SystemC v0.9公開から始まった Amazon : Interface(インターフェース) 2019年 08 月号 ツイート 「AIモダン計測制御の研究[1万円エッジ・コンピュータJetson Nano&TPU]」 のTPUのこ…

転職して1年経ちました

Versalengineerの戯言 : Twitter SystemVerilogの世界へようこそ、すべては、SystemC v0.9公開から始まった 早いもので、転職しましたから1年経ちました。 なんか、早い。。。早過ぎる。いったい、1年間、何をやってきたのだろうか? この1年でやったこと ・…

Tensilica Vision Q7は、Halideにも対応しているよ

Versalengineerの戯言 : Twitter SystemVerilogの世界へようこそ、すべては、SystemC v0.9公開から始まった DSP「Tensilica Vision Q7」を発表、性能が2倍に向上 過去2回取り上げている、Cadence Tensilica 2017年5月6日、Cadence Vision C5 DSPと開発環境 2…

Accellera Portable Stimulus関連

@Vengineerの戯言 : Twitter SystemVerilogの世界へようこそ、すべては、SystemC v0.9公開から始まった 久しぶりにBRIAN BAILEYの記事、 ・When Verification Leads ・Defining Verification どちらも読んでもらいたい内容です。。 しかしながら、Portable S…

40年間エンジニアとして楽しむための7つのこと!

@Vengineerの戯言 : Twitter SystemVerilogの世界へようこそ、すべては、SystemC v0.9公開から始まった たぶん、忘れちゃうので。。。 GW前にちょこっと書いたこのツイート、過去最高のいいね。900超えました。 40年間エンジニアとして楽しむための7つのこと…

雇われの身になって、30年経ちました

engineerの戯言 : Twitter SystemVerilogの世界へようこそ、すべては、SystemC v0.9公開から始まった 雇われの身になって、30年が過ぎました。 あと10年ぐらいは働けるとは思うのですが、3/4は終了しちゃったんですね。 早いですね。 前職では、50代がたんま…

Tシャツ等コレクション

@Vengineerの戯言 : Twitter SystemVerilogの世界へようこそ、すべては、SystemC v0.9公開から始まった 順番は、入手順です。これら コレクション は、お仕事中に、ユニフォームとして着ています。 、Groove X のTシャツ <= ここからコレクションが始まった…

ブログ始めて、12年が経ちました

@Vengineerの戯言 : Twitter SystemVerilogの世界へようこそ、すべては、SystemC v0.9公開から始まった Yahoo!ブログにブログを書き始めて、今日で12年経ちました。 早いもんですよね。12年ですよ。 でもですよね。先週の金曜日のブログにも書きましたが、 Y…

ブログ終了

@Vengineerの戯言 : Twitter SystemVerilogの世界へようこそ、すべては、SystemC v0.9公開から始まった 昨日、Yahoo!がYahoo!ブログ サービス終了のお知らせを発表しました。 2019年12月15日をもって、Yahoo!ブログを終了するようです。 ということで、この…

今年(2018年)を振り返って、

@Vengineerの戯言 : Twitter SystemVerilogの世界へようこそ、すべては、SystemC v0.9公開から始まった まだ、12月27日ですが、今日が今年最後の労働日なので。。。 最初に、今年は、人生の中で、一番と言ってもいいぐらい、色々なことがありました。 何とい…

Productive parallel programming for FPGA with HLS

@Vengineerの戯言 : Twitter SystemVerilogの世界へようこそ、すべては、SystemC v0.9公開から始まった 記録として、 Productive parallel programming for FPGA with HLS スライドも公開されています。 => スライド 例題 (github) HLSの例題 (github) 仮想…

20%の時間で80%の成果を

@Vengineerの戯言 : Twitter SystemVerilogの世界へようこそ、すべては、SystemC v0.9公開から始まった 記録として。 このツイートとこのツイート 勤務先の図書コーナーに鎮座していたので、借りてきて読んだ。 内容的には、 「お仕事は人生の大きな部分を占…

5か月経ちましたー。。

@Vengineerの戯言 : Twitter SystemVerilogの世界へようこそ、すべては、SystemC v0.9公開から始まった 早いもので、転職しましたから5か月が経ちました。 早いもので、転職してから3か月が経ちました 怒られるまで、勝手にやりますよ。 と2回、このブログに…

Tensilica DNA 100 Processor IP

@Vengineerの戯言 : Twitter SystemVerilogの世界へようこそ、すべては、SystemC v0.9公開から始まった 昨日は、Synopsysでしたが、今日は、Cadence Tensilica DNA Processor Family for On-Device AI Tensilica DNA Processor Family for On-Device AIとし…

怒られるまで、勝手にやりますよ。

@Vengineerの戯言 : Twitter SystemVerilogの世界へようこそ、すべては、SystemC v0.9公開から始まった 早いもので、転職してから3か月が経ちましたに書きましたが、 試用期間が終了したので、面接を。 時間は10分でしたが、5分で終わってしまいました。 前…

早いもので、転職してから3か月が経ちました

@Vengineerの戯言 : Twitter SystemVerilogの世界へようこそ、すべては、SystemC v0.9公開から始まった 早いもので、プチ引退後、6月に転職してから、3か月経ちました。 試用期間にやっておきたかったことは、だいたいできたのは良かったです。 先週末(8/31)…

WSLでModelSim Intel Edition (Starter)を動かす

@Vengineerの戯言 : Twitter SystemVerilogの世界へようこそ、すべては、SystemC v0.9公開から始まった WSLで32bitなELFが動いた(ただしQEMU)を参考にして、 WSL(Ubuntu 16.04LTS)にて、Intel ModelSim を動かしてみた。 WSLでは、64ビットアプリしか動か…

Portable Test and Stimulus Standard

@Vengineerの戯言 : Twitter SystemVerilogの世界へようこそ、すべては、SystemC v0.9公開から始まった 知っている人の方が超珍しい、Portable Test and Stimulus Accellera Approves New Portable Test and Stimulus Standard このブログでも過去2度、紹介…

Instruction-Level Abstraction (ILA)

@Vengineerの戯言 : Twitter SystemVerilogの世界へようこそ、すべては、SystemC v0.9公開から始まった Google君に聞いていたら、見つけた Instruction-Level Abstraction (ILA): A Uniform Specification for System-on-Chip (SoC) Verification github は…

ArmNN Android Neural Networks driver

@Vengineerの戯言 : Twitter SystemVerilogの世界へようこそ、すべては、SystemC v0.9公開から始まった ウロチョロしていたら、見つけました。 ArmNN Android Neural Network driver 「TensorFlow Lite & Android 8.1 NN API ソースコード解析」独演会独演会…

正に、「起点になろう。できるだけ早く」

@Vengineerの戯言 : Twitter SystemVerilogの世界へようこそ、すべては、SystemC v0.9公開から始まった ちきりんのブログ、2018-07-01 起点になろう ここに書いてある内容、あたしがやってきたこと、やっていることと同じ。 あたしのツイートも記録のために…

祝!! 400000訪問者、達成

@Vengineerの戯言 : Twitter SystemVerilogの世界へようこそ、すべては、SystemC v0.9公開から始まった 明日ぐらいに、このブログの訪問者が400000になります。 前回の祝!300000訪問者、達成!(2016/7/11(月))から 2年弱(715日、日数計算のサイト)。 300000…

学校では、教えてくれないよね。

@Vengineerの戯言 : Twitter SystemVerilogの世界へようこそ、すべては、SystemC v0.9公開から始まった 記録のために。 120: This Startup Just Built Japan’s Most Powerful Supercomputer この後半にある 長いですが、記録のために、引用します。 Daisuke:…

転職しました

@Vengineerの戯言 : Twitter SystemVerilogの世界へようこそ、すべては、SystemC v0.9公開から始まった 4月1日ではないので、本当のお話です。 既に多くの方には、転職(転職先も)することはお伝えしていますが。。。 一般的には、退職エントリーでしょうが、…

NextEnglish

@Vengineerの戯言 : Twitter SystemVerilogの世界へようこそ、すべては、SystemC v0.9公開から始まった いいもの見つけました。 Youtubeで洋楽のPVを観ながら、聴くんだけど、歌詞が分からないんだよね。 歌詞が載っているサイトはいろいろあるんだけど、 Yo…

Windows subsystem for Linux と Visual Studio Code

@Vengineerの戯言 : Twitter SystemVerilogの世界へようこそ、すべては、SystemC v0.9公開から始まった ソースコード解析をするために、 Windows 10 PCに、OracleのVirtualBox & Ubuntu 16.04LTSの環境で行っています。 Bash on Ubuntu 16.04LTS on Wondows …

休暇

@Vengineerの戯言 : Twitter SystemVerilogの世界へようこそ、すべては、SystemC v0.9公開から始まった 今日から諸般の事情でだいたい5月末まで休暇です。 特別何をやるということはないので、めっちゃ、暇です。 何かありましたら、TwitterのDM、か、GMail…

自分が継続して、成長している実感がある!!

@Vengineerの戯言 : Twitter SystemVerilogの世界へようこそ、すべては、SystemC v0.9公開から始まった Twitterに流れてきたので。。。 目指すは世界市場制覇、国内トップのAIベンチャーが求める人材と組織 この記事、今日(4月20日の朝5時まで)しか無償公開…