Vengineerの妄想(準備期間)

人生は短いけど、長いです。人生を楽しみましょう!

#技術職

「エンジニアのためのドラッカー」

@Vengineerの戯言 : Twitter SystemVerilogの世界へようこそ、すべては、SystemC v0.9公開から始まった あたしのTwitterのプロフィールに、 映画は人生。 仕事は楽しく。 「エンジニアの基本は、ドラッカー」。職種 : 自由人。 と書いています。 「映画は人…

Portable Test と UEX

@Vengineerの戯言 : Twitter SystemVerilogの世界へようこそ、すべては、SystemC v0.9公開から始まった メンターのブログ、Portable Test – Portable Intent, Portable Realization, or Both? これによると、Portable Testは、Portable Intent と Portable R…

やっと、SW-driven verification

@Vengineerの戯言 : Twitter SystemVerilogの世界へようこそ、すべては、SystemC v0.9公開から始まった 超久しぶりに、UVM のお話。 昨年(2017年)4月15日のUVMがIEEE 1800.2として標準化へ、だから、10か月以上経っていますね。 Portable stimulus smooths p…

サイボウズ・ラボの西尾さんのエンジニアのための自分経営戦略

@Vengineerの戯言 : Twitter SystemVerilogの世界へようこそ、すべては、SystemC v0.9公開から始まった 今日は、4月1日、April Fools' Day ですね。 また、新年度が来ました。。。 今年度は、いったい、何が起こるのでしょうかね。。。 楽しみです。 さて、…

Portable Test and Stimulus Early Adopter II

@Vengineerの戯言 : Twitter SystemVerilogの世界へようこそ、すべては、SystemC v0.9公開から始まった Portable Stimulus Accellera Portable Test and Stimulus Early Adopter II Release Available for Public Review ダウンロードは、ここ Portable Test…

4000

@Vengineerの戯言 : Twitter SystemVerilogの世界へようこそ、すべては、SystemC v0.9公開から始まった 2007年3月3日からこのブログを始めて、約11年。 このブログで4000になります。 365日*11年で、4015。 3月3日までであと12日です。 3月3日はブログ開設の…

ベタープログラマ、読みました

@Vengineerの戯言 : Twitter SystemVerilogの世界へようこそ、すべては、SystemC v0.9公開から始まった あたしが勝手にメンターと思っている柴田さんの新翻訳本、ベタープログラマ読みました。 発売と同時に、e-honで発注したら、取り寄せのようで、結局、手…

今日は、インターフェース2018年2月号のオフ会ですね。

Vengineerの戯言 : Twitter、Slideshare SystemVerilogの世界へようこそ、すべては、SystemC v0.9公開から始まった 今日は、お仕事、お休みです。 Interface2月号特集「知っ得 世界のAI技術」のオフ会を19:00からCQ出版社のセミナールームにて開催します! …

インターフェース2018年1月号オフ会

Vengineerの戯言 : Twitter、Slideshare SystemVerilogの世界へようこそ、すべては、SystemC v0.9公開から始まった Interface1月号特集「高速&リアルタイムPythonの研究」のオフ会が今週の金曜に開催されますね。 内容(予定) ● Python専用コンピュータを…

SystemVerilog 2017

Vengineerの戯言 : Twitter、Slideshare SystemVerilogの世界へようこそ、すべては、SystemC v0.9公開から始まった SystemVerilog Standard Updated SystemVerilogの標準化である、IEEE 1800™-2017 が昨年の12月に承認されたようです。 IEEE 1800-2012から5…

サシ飲みしました

Vengineerの戯言 : Twitter、Slideshare SystemVerilogの世界へようこそ、すべては、SystemC v0.9公開から始まった 金曜日の夜、Twitterで知り合った人との「サシ飲み」の新年会。 あたしは禁煙のお店でお願いして、見つけてくれたお店が良かった。 コースし…

あけまして、おめでとうございます

今年の年賀状は、こんな感じですよ。

2017年は、めちゃくちゃ、いろいろやったよ

Vengineerの戯言 : Twitter、Slideshare SystemVerilogの世界へようこそ、すべては、SystemC v0.9公開から始まった 今日で2017年も終わりですね。 1月1日のブログ、あけまして、おめでとうございます。 今までは、「ペンネーム」と「本名」で記事を書いてき…

御徒町の羊香味坊にて、

Vengineerの戯言 : Twitter、Slideshare SystemVerilogの世界へようこそ、すべては、SystemC v0.9公開から始まった このツイートにて、 木曜日(12/28)に、 御徒町の羊香味坊にて、「一年を振り返り、来年以降のことについて語り合う会」をやりました。 当初…

今日は、Design solution Forum 2017 ですね。

Vengineerの戯言 : Twitter、Slideshare SystemVerilogの世界へようこそ、すべては、SystemC v0.9公開から始まった 今日は、Design Solution Forumですね。 実行委員は、朝8時から用意を始めます。それより前から準備をしている人達もいます。 昨年から準備…

今日は、SystemVerilogによるテストベンチ実践会(2017夏)、ですね

Vengineerの戯言 : Twitter、Slideshare SystemVerilogの世界へようこそ、すべては、SystemC v0.9公開から始まった 今日は、SystemVerilogによるテストベンチ実践会(2017夏)です。 会場は、前回(AWS EC2 F1について、みんなでワイワイ調べる会と同じ、茅場町…

LegUpのTrial版

Vengineerの戯言 : Twitter、Slideshare SystemVerilogの世界へようこそ、すべては、SystemC v0.9公開から始まった LegUp、ダウンロードはここから LegUp 5.1ドキュメント Trial版は、Processor-Accelerator SoC Generation以外は、Full版と同じようです。

Ubuntu 16.04LTS on VirtualBox

Vengineerの戯言 : Twitter、Slideshare SystemVerilogの世界へようこそ、すべては、SystemC v0.9公開から始まった Bash on Ubuntu 16.04LTS on Windows 10でVivado 2017.2しましたが、 Intel ModelSimは32ビットアプリのため、Bash on Ubuntu 16.04LTS on W…

FPGAマガジン No.18とインターフェース9月号

Vengineerの戯言 : Twitter、Slideshare SystemVerilogの世界へようこそ、すべては、SystemC v0.9公開から始まった 今日、7月25日。世の中的には土用の丑の日ですね。 それから、 「FPGAマガジン No.18」と 「インターフェース9月号」の発売日です。 FPGAマ…

インターフェース8月号のオフ会に行ってきました

Vengineerの戯言 : Twitter、Slideshare SystemVerilogの世界へようこそ、すべては、SystemC v0.9公開から始まった Interface8月号オフ会「ディープ・ラーニングのイロハ&夢を語る」 特集記事じゃないんですが、 一応、Twitterアカウント名(@Vengineer)で記…

MentorのCatapultが進化したって?

engineerの戯言 : Twitter、Slideshare SystemVerilogの世界へようこそ、すべては、SystemC v0.9公開から始まった Mentor Ushers in New Era of C++ Verification Signoff with New Catapult Tools and Solutions メンターのHigh-Level Synthesis and RTL Lo…

SystemCに形式検証

Vengineerの戯言 : Twitter、Slideshare SystemVerilogの世界へようこそ、すべては、SystemC v0.9公開から始まった ソニーの画像処理IC設計手法が進展、SystemCに形式検証 ソニーさんは、SystemCの黎明期からずーと使われているようですね。 あたしがSystemC…

SystemVerilogによるテストベンチ実践会、申込受付中です

Vengineerの戯言 : Twitter、Slideshare SystemVerilogの世界へようこそ、すべては、SystemC v0.9公開から始まった SystemVerilogによるテストベンチ実践会(その1) SystemVerilogによるテストベンチ実践会(その2) SystemVerilogによるテストベンチ実践会(そ…

SystemVerilogによるテストベンチ実践会(その3)

Vengineerの戯言 : Twitter、Slideshare SystemVerilogの世界へようこそ、すべては、SystemC v0.9公開から始まった SystemVerilogによるテストベンチ実践会(その2)の続き。 Intel(ModelSim ASE)とXilinx(XSIM)限定 4. DPI-Cによるテストプログラム について…

インターフェース8月号の特集&TensorFlow XLA

Vengineerの戯言 : Twitter、Slideshare SystemVerilogの世界へようこそ、すべては、SystemC v0.9公開から始まった 6月24日(土曜日)発売の算数&ラズパイから始める人気AI ディープ・ラーニング 100頁超の特集です。 そんでもって、あたしも 特設 衝撃的な性…

SystemVerilogによるテストベンチ実践会(その1)

Vengineerの戯言 : Twitter SystemVerilogの世界へようこそ、すべては、SystemC v0.9公開から始まった SystemVerilogでテストベンチを書いていたのって、もう10年以上も前です。 半導体開発の検証では、SystemVerilogのVerification(検証)の機能って、とって…

ChromebookでUbuntuが使えなくなったよ

Vengineerの戯言 : Twitter SystemVerilogの世界へようこそ、すべては、SystemC v0.9公開から始まった 今日やることの 1)、原稿の校正 2)、原稿書き 3)、ブログ が終わったので、 4)、AWS EC2 F1の調査 をしようと思って、USB HDDを接続し、Ubuntuを立ち上げ…

Xcelium Parallel Simulator

Vengineerの戯言 : Twitter SystemVerilogの世界へようこそ、すべては、SystemC v0.9公開から始まった CadenceがRocketSimを買収に書いたのが昨年(2016年)の6月7日。 Parallel simulator enables SoCs to get to market fasterで知ったのですが、 CadenceがR…

ModelSim Intel FPGA Starter Edition (17.0)

@Vengineerの戯言 : Twitter SystemVerilogの世界へようこそ、すべては、SystemC v0.9公開から始まった ModelSim Intel FPGA Starter Edition (16.1)の続き、 今回から ModelSim Intel FPGA Starter Edition になったようです。 v16.1は、ModelSim 10.5bでし…

ChromebookでFedoraが動く

@Vengineerの戯言 : Twitter SystemVerilogの世界へようこそ、すべては、SystemC v0.9公開から始まった 記録のために、残しておきます。 Crouton Fedora + Wayland. Yes, please! 引用 As of version 50, Chrome OS includes a Wayland server. Even though …