Vengineerの戯言

人生は短いけど、長いです。人生を楽しみましょう!

2014-10-01から1ヶ月間の記事一覧

ARM Mali-T860, T830, T820

@Vengineerの戯言 : Twitter SystemVerilogの世界へようこそ、すべては、SystemC v0.9公開から始まった ARMが新しいGPUをアナウンスしました。 ARM Delivers System Efficiency with a New Suite of Integrated Media IP Mali T-860、830、820 Mali V-550 Ma…

Adam Taylor's MicroZed Chronicles

@Vengineerの戯言 : Twitter SystemVerilogの世界へようこそ、すべては、SystemC v0.9公開から始まった Zynqユーザー、特にMicroZedのユーザーのみなさん、喜んでください。 Adam Taylor’s MicroZed Chronicles Part 52に、MicroZedに関する記事が最初からPa…

テストのコストがチップのコストよりも高くなるのか?

@Vengineerの戯言 : Twitter SystemVerilogの世界へようこそ、すべては、SystemC v0.9公開から始まった BRIAN BAILEYさんのBalancing The Cost Of Testという記事。 ここでのTestとは、チップの欠陥を見つけるための出荷テストのこと。 検証というお仕事だけ…

Tegra-K1搭載Chromebook

@Vengineerの戯言 : Twitter SystemVerilogの世界へようこそ、すべては、SystemC v0.9公開から始まった AcerがTegra-K1搭載Chromebookを売っています。 液晶の解像度とストレージの容量によって値段が違いますが、279.99ドルからです。 搭載されているTegra-…

ARMのCCN-502とCCN-512

@Vengineerの戯言 : Twitter SystemVerilogの世界へようこそ、すべては、SystemC v0.9公開から始まった ARMがCCN-502とCCN-512をアナウンスしました。 ARM Extends Scalability of CoreLink for Infrastructure Compute CCN-504とCCN-508は既に出ているので…

UVM 1.2 Cook Book

@Vengineerの戯言 : Twitter SystemVerilogの世界へようこそ、すべては、SystemC v0.9公開から始まった UVM 1.2 Cook Bookのサマリーです。 1 Accellera UVM 1.2 release information 1.1 Configuration 1.2 Core Services 1.3 Extending uvm_factory and uv…

OpenCLIPP

@Vengineerの戯言 : Twitter SystemVerilogの世界へようこそ、すべては、SystemC v0.9公開から始まった Intel IPP、NVIDIA NPPと同等の機能を提供するOpenCLIPP Intel IPPは、SIMD。NVIDIA NPPは、CUDA。そして、OpenCLIPPは、OpenCL。 NVIDIA NPPとNVIDIAの…

Allwinner H64

@Vengineerの戯言 : Twitter SystemVerilogの世界へようこそ、すべては、SystemC v0.9公開から始まった AllwinnerのH64はARMv8搭載のSoC H64を搭載したボードNobel64の仕様はこんな感じ SoC – Allwinner H64 quad core 64-bit ARM processor, most probably …

Exynos 7 Octa

@Vengineerの戯言 : Twitter SystemVerilogの世界へようこそ、すべては、SystemC v0.9公開から始まった SamsungのARMv8搭載のSoC、Exynos 7 Octa(Exynos 5433) A57/A53ぼbig.LITTLEに加えて、Mali-T760を搭載。 64ビットのAndroid 4.4(Kitkat)を搭載出来ると…

NEXUS 9

@Vengineerの戯言 : Twitter SystemVerilogの世界へようこそ、すべては、SystemC v0.9公開から始まった 今週はARMv8搭載SoCとAndroid 5(Lollipop)が多めです。 NEXUS 9が発表されましたが、NVIDIA Tegra K1(Denver版)を搭載しているようですね。 お値段は、1…

検証エンジニアと英語を読むこと

@Vengineerの戯言 : Twitter SystemVerilogの世界へようこそ、すべては、SystemC v0.9公開から始まった 私が勝手にメンターだと思っている柴田さんのブログ、ソフトウェアエンジニアと英語力、ソフトウェアエンジニアと英語力(2)では、新卒新人を英語を読む…

SPI : AMDのプレゼンテーション資料

@Vengineerの戯言 : Twitter SystemVerilogの世界へようこそ、すべては、SystemC v0.9公開から始まった AMDのSPIRの資料、PL-4501, An Introduction to SPIR for OpenCL application and Compiler Developer 7頁に、HOW TO LOAD SPIR, MULTIPLE SPIR BINARIE…

AndroidでOpenCL

@Vengineerの戯言 : Twitter SystemVerilogの世界へようこそ、すべては、SystemC v0.9公開から始まった OpenCL for Android Massesによると、 Android(ARMv7)のCPUでOpenCLが動くようになったようです。 PoclをAndroidに移植したようです。Google Playからダ…

FirePro APP SDK Alpha

@Vengineerの戯言 : Twitter SystemVerilogの世界へようこそ、すべては、SystemC v0.9公開から始まった AMDがFirePro APP SDK Alphaをリリース。 どうやら、FirePro限定では、パスワードが必要。まー、Alphaなのでね。 普通に使えるのは、APP SDK v2.9.1です…

「アプレンティスシップ・パターン」を読み返して、その(2)

@Vengineerの戯言 : Twitter SystemVerilogの世界へようこそ、すべては、SystemC v0.9公開から始まった 昨日の続き、 引用 4章 正確な自己評価 床を拭く (Sweep the Floor) P.94 「新参者の作業は、連れなった仕事の節目の真ん中あたりであるよりも、仕事の…

「アプレンティスシップ・パターン」を読み返して、その(1)

@Vengineerの戯言 : Twitter SystemVerilogの世界へようこそ、すべては、SystemC v0.9公開から始まった 自分が勤務先の図書スペースに寄贈した 「アプレンティスシップ・パターン」借りて読んでいます。 (寄贈してしまったので、書き込み等が出来ないのは、…

SystemVerilogハッカソンの成果物公開

@Vengineerの戯言 : Twitter SystemVerilogの世界へようこそ、すべては、SystemC v0.9公開から始まった Design Solution Forum 2014でのSystemVerilogハッカソンの成果物を公開しました。 SystemVerilogハッカソンの成果物公開 ・SystemVerilogのクラスでC++…

APM X-Gene2の性能

@Vengineerの戯言 : Twitter SystemVerilogの世界へようこそ、すべては、SystemC v0.9公開から始まった このツイートによると、Web Server Perfromanceは、 X-Gene 809 8コア? X-Gene2 1034 8コア ? E5-2630 432 (SandyBridge) 6コア/12HT E5-2630v2 500 (…

Cray Chapel オープンソースで公開

@Vengineerの戯言 : Twitter SystemVerilogの世界へようこそ、すべては、SystemC v0.9公開から始まった CrayのChapelのオープンソース版が公開されました。 Caryのマシンだけでなく、UNIX, Mac OS X, Windows with Cygwin でも動くようです。 概要は、こちら…

CodeplayがSYCLを実装&デモ

@Vengineerの戯言 : Twitter SystemVerilogの世界へようこそ、すべては、SystemC v0.9公開から始まった Codeplay demo first prototype SYCL Implementationによると、CodeplayはSYCL 1.2を実装し、デモを行ったようです。 CodeplayのSYCLのサイトでは、まだ…

IBM POWERでNVIDIA GPU搭載マシンをアナウンス

@Vengineerの戯言 : Twitter SystemVerilogの世界へようこそ、すべては、SystemC v0.9公開から始まった これによると、IBMがPOWERでNVIDIAのGPUをサポートした製品を出します。 また、 引用 Future versions of IBM Power Systems will feature NVIDIA NVLin…

SystemVerilogハッカソンを開催しました

@Vengineerの戯言 : Twitter SystemVerilogの世界へようこそ、すべては、SystemC v0.9公開から始まった 昨日のDesign Solution Forum 2014は、天気にも恵まれ、多くのエンジニアの方に来ていただき、ありがとうございました。 多少ドタバタはありましたが、…

今日は、Design Solution Forum 2014 です

@Vengineerの戯言 : Twitter SystemVerilogの世界へようこそ、すべては、SystemC v0.9公開から始まった 今日は、Design Solution Forum 2014です。 実行委員、講演、モデレータと3役やるので、ドタバタするかも? 影武者、雇ったので、大丈夫かも! 初めてな…

ARM OpenCL

@Vengineerの戯言 : Twitter SystemVerilogの世界へようこそ、すべては、SystemC v0.9公開から始まった ARMがCortex-A用にOpenCLをサポートしたようです。 Media Alert: ARM Extends OpenCL to the ARM Cortex-A Processor Family NEONを使うようです。 Open…

「ソフトウェア開発で伸びる人、伸びない人」再び

@Vengineerの戯言 : Twitter SystemVerilogの世界へようこそ、すべては、SystemC v0.9公開から始まった 今日から10月、多くの会社では下期というものになりますね。 今日は書籍を読み返してみました。 ソフトウェア開発で伸びる人、伸びない人を読んだのは、…