Vengineerの戯言

人生は短いけど、長いです。人生を楽しみましょう!

2009-10-01から1ヶ月間の記事一覧

今月(10月)の映画鑑賞

映画好きの戯言 今月は、DVD7本に、GyaO:6本。 「サイバーネット/HACKERS」は、掘り出しモノだったよーん。 「ぼくたちと駐在さんの700日戦争」もおもしろかったよー。 ブラックキス(2004)(GyaO) アンダーワールド:ビギンズ/UNDERWORLD: RISE OF THE LYCANS…

OVM : my_randomizeを使う

Verification Engineerの戯言 : SystemVerilogの世界へようこそ ModelSim AEでは、randomize関数をサポートしていません。なので、OVMのovm_doマクロが使えません。 ワークアラウンドとして、All of SystemVerilogにmy_randomizeを使うをアップしました。 こ…

OVM : assign_vi

Verification Engineerの戯言 : SystemVerilogの世界へようこそ Is "assign_vi" the only way to pass interface to the components from environment??の中で メンターのDaveさんが興味深い例をアップしていた。 上記のOVM Forumのスレッドからの引用 class…

OVM : DACのプレゼンテーション資料(その3)

Verification Engineerの戯言 プレゼンテーション資料の nSys presents: Plug-and-Play the World's Largest Verification IP Portfolio in an OVM Environmentでは、nSYS社のVIPについて説明しています。 最近、かなりの種類のVIPをそろえてきたnSYS。 実は…

HLS :カシオ計算機の事例

Verification Engineerの戯言 日経EDAOnlineの小島さんがあまりにも速いペースで記事をアップするので、追いつかない。。。 で、10/23(金)のCatapult User Forumの最後の事例は、カシオ計算機の「高位合成でやっていいこと,悪いこと ――C/C++言語によるLSI設…

HLS : 日立製作所の事例

Verification Engineerの戯言 10/23(金)のCatapult User Forumでは、 DAC2009での事例発表:【DAC 2009】日立製作所がCatapult Cの適用事例を発表,440万ゲートASICの半分を動作合成と同じ内容の事例がありました。 講演者は、DAC2009と同じNatori-Sanでした…

HLS : 富士通九州ネットワークテクノロジーズの事例

Verification Engineerの戯言 EDA Expressによると富士通九州ネットワークテクノロジーズがメンターの高位合成ツールを採用しました。 そして、10/23(金)のCatapult User Forumでプレスリリースにもコメントを寄せている山下さんの事例発表がありました。 プ…

ぼくたちと駐在さんの700日戦争(2008)

映画好きの戯言 舞台は、1979年(30年前)の栃木県烏山町。 ロケ地も、栃木県烏山町なんねん。なつかしかー。。。 それから、あのスカート、今はありえないが、あのときはあの長さが主張なんだよなー。 今は反対にちょーXXいからなー。 俺っちの近くにコンビニ…

HLS : STMicroの事例

Verification Engineerの戯言 メンターのブログ:Thomas Bollaert’s Blog A Rythmic Measure of Successでは、 STmicroelectronicsの事例が載っています。 PDFファイルは、Catapult C Synthesis Shines a Light on STMicroelectronics Imaging Challenges こ…

OVM : DACのプレゼンテーション資料(その2)

Verification Engineerの戯言 プレゼンテーション資料のFujitsu Labs presents: Improve Verification Productivity and Quality using OVM AMIQ presents: OVM Support in DVT Eclipseでは、EDA Forumの内容のDAC版です。 当然、英語ですが、うー、英語でプ…

OVM : DACのプレゼンテーション資料(その1)

Verification Engineerの戯言 OVM WorldにOVM World DAC Booth Presentations Now Availableとしてプレゼンテーション資料がアップされました。 ただし、OVM Roadmap以外はOVM Worldにログインが必要。 プレゼンテーション資料のAMIQ presents: OVM Support …

HLS : Cynthesizer ユーザー交流会2009

Verification Engineerの戯言 11月6日(金)に新横浜国際ホテル 南館でCynthesizer ユーザー交流会2009が開催されます。 ユーザ事例は、2件 「高位設計手法確立への取り組み ~従来設計手法との融合を目指して~」 「DMPグラフィックスソリューションにおけるA…

OVM : An OVM Register Package V 1.1(その5)

Verification Engineerの戯言 例題のIP-XACTファイルに対して、OVMのクラスは次のように対応しているようです。 トップ階層からの<spirit:name>rgm_example_rdb</spirit:name> => class rgm_example_rdb extends rgm_rdb トップ階層からの<spirit:memoryMaps> <spirit:memoryMap> <spirit:name>xa0</spirit:name> <spirit:vendorExtensions> <vendorExtensions:type>xbus_am</vendorextensions:type></spirit:vendorextensions></spirit:memorymap></spirit:memorymaps>

HACKERS(1995)&lt;未&gt;

映画好きの戯言 サイバーネット/HACKERS(1995)<未>(DVD) この映画、 「デスパレートな妻たち」のリネット役フェリシティ・ハフマン(Felicity Huffman)が最初の弁護士役でほんの少しだけ出ています。 始まりのテロップには載っていませんでしたが(終わりには…

Mentor : いろいろなModelSim

Verification Engineerの戯言 ModelSimには、いろいろなバージョンがあります。 各バージョンについて、簡単ではありますが、All of SystemVerilogに簡単ではありますが、まとめてみました。 検証、Verification、Mentor

HLS : CadenceのブログでSynphonyを歓迎。。。

Verification Engineerの戯言 SynopsysのSynphonyの発表に対して、ケイデンスのブログでは、歓迎の意向を示しています。 Synopsys’ “Synphony” Announcement – Welcome to the Party! パーティにようこそ!とありますが、 Cベース言語を入力とするCadenceのC…

VMM : VMM-RP & VMM-SP

Verification Engineerの戯言 JSNUG2009の A-1 :“The brand new methodology” 登場! 真のHW/SW協調検証を実現する “システム・プロトタイピング” の中で、 VMM-RP : Rapid Prototyping VMM-SP : System Prototyping をリリースする予定であるという発表が…

VMM : ベリフォアの「VMM力」

Verification Engineerの戯言 最近、「XXX力」という言葉や書籍がキーワードのようですが、 本日のJSNUG2009のベリフォアの 「コンフィギュラブル・インターコネクトシステム向けアダプティブ・テストベンチの構築」は、ベリフォアの「VMM力」を示すモノでし…

HLS : SynopsysのSynphony

Verification Engineerの戯言 SynopsysがHLSツール「Synphony」をアナウンスした。 1994年5月の「Behavioral Compiler」 2000年6月の「SystemC Compiler」 3度目の正直になるか? EDA Online : 米Synopsysが動作合成に再参入,まずはMATLABのM言語入力版を投…

OVP : New ARM processor cores freely available

Verification Engineerの戯言 OVPから新しいARMモデルがリリースされました。 New OVP models of popular ARM processor cores freely available ARMv4xM ARMv4 ARMv4TxM ARMv4T ARMv5xM ARMv5 ARMv5TxM ARMv5T ARMv5TExP ARMv5TE ARMv5TEJ. ARM7TDMI, ARM72…

OVM : DACでの富士通研の事例

Verification Engineerの戯言 EDA Expressに 【DACの話】富士通研、CedarとOVMを組み合わせて検証品質/検証効率を向上 がアップされました。 その中で、私のブログ(2009/9/14):メンターのセミナー(DA Tech Forum 2009)、 OVM : 富士通研究所の事例が紹介さ…

VMM : The Next Generation -- Delivering Enhanced Ease-of-use, TLM 2.0 Support and Robust Block-to-to

Verification Engineerの戯言 VMM: The Next Generation Delivering Enhanced Ease-of-use, TLM 2.0 Support and Robust Block-to-top Reuse というWebセミナーが10/13に開催されます。 現在、登録中。 検証、Verification、SystemVerilog、VMM、Verificatio…

Accellera VIPのContributers

Verification Engineerの戯言 VIPのContributers(25)の所属会社は、 Intel (3) VMM(SNUGから) Freescale (3) VMM?(VMM本から) Sun (1) VMM(VCSなので) Cisco (1) VMM(VMM Centralから) Synopsys (4) VMM Mentor (3) OVM Cadence (4) OVM Denali (2) OVM/VMM…

VMM1.1.1 for Questa & IUS

Verification Engineerの戯言 OVM WorldからVMM1.1.1 for Questa & IUSがリリースされました。 OVM 2.0.2 と Accellera VIP Interoperability kitと一緒に使うことができます。 検証、Verification、SystemVerilog、VMM、Verification Methodology Manual

SNUG Boston 2009 : Agile Techniques for Hardware Design

Verification Engineerの戯言 SNUG Boston 2009でのMC3 Aglie Programming and MVSIMの A Giant, Baby Step Forward: Agile Techniques for Hardware Design Neil Johnson, Bryan Morris - XtremeEDA Corp. は、S/W業界で一時ブームになったアジャイルをH/W…

今月(9月)の映画鑑賞

映画好きの戯言 約6ヶ月ぶりに、劇場で観ました。 X-MENシリーズの最新作であり、最初の物語「X-MEN ORIGINS: WOLVERINE」。 また、今年も年間100本を達成できました。 悪魔を憐れむ歌/FALLEN(1997)(GyaO) マルタのやさしい刺繍/DIE HERBSTZEITLOSEN/LATE B…

OVM/VMM準拠のVIP(検証IP)の利用ガイド

Verification Engineerの戯言 EDA Expressによると、AccelleraがOVM/VMM準拠のVIP(検証IP)の利用ガイドを発表したようです。 これは、7/14の日記AccelleraのVerification IP Groupで紹介したVerification IP (VIP)のことです。 Verification Intellectual P…

SystemC 公開から10周年

Verification Engineerの戯言 SystemCは、1999年9月に公開され、10年経った。 先程、九州の某所でお話したプレゼンテーション資料を眺めていたら気がついた。 Googleに聞いてみたら、EDA Onlineに小島さんの記事が見つかりました。 システムLSIをC言語/C++…

OVM & VMM : OVMでRALを使う

Verification Engineerの戯言 OVM / VMM 1.1 Interoperability Kitを使えば、OVMとVMMが一緒に使えますので、RALも使えると思います。 そこで、OVMでRALを使う例がアップされました。 ec_ovm_env.svファイルのec_envクラスの中でRALをラッピングしたクラス(e…