Vengineerの戯言

人生は短いけど、長いです。人生を楽しみましょう!

OVM : my_randomizeを使う

Verification Engineerの戯言 : SystemVerilogの世界へようこそ

ModelSim AEでは、randomize関数をサポートしていません。なので、OVMのovm_doマクロが使えません。


このmy_randomize関数を使うことで、OVMのovm_doマクロが使えます。
ただし、my_randomize関数で各メンバーのランダム生成を自分を行うコードを書かなければいけませんが、

ご利用ください。

検証、Verification、SystemVerilog、OVM、Open Verification Methodology