Vengineerの戯言

人生は短いけど、長いです。人生を楽しみましょう!

2013-11-01から1ヶ月間の記事一覧

今月(11月)の映画鑑賞

映画好きの戯言 今月は14本でした。ちょっと少なめですね! ロマンポランスキーの吸血鬼、キューブリックのロリータと古い作品も見ました。 メグ・ライアンの 男と女の取扱説明書<未>/SERIOUS MOONLIGHT(2009)(UULA) コンボイ/CONVOY(1978)(UULA) レストスト…

Knights Landing

@Vengineerの戯言 : Twitter SystemVerilogの世界へようこそ、すべては、SystemC v0.9公開から始まった SC2013でIntelが新しいXeon Phi、Knights Landingを! 14nmプロセスでコア数はちょっと増えて72コア。 メモリがNear MemoryとFar Memoryの2段構成。 Far…

Horizontal Verification ReuseとVertical Verification Reuse

@Vengineerの戯言 : Twitter SystemVerilogの世界へようこそ、すべては、SystemC v0.9公開から始まった Will Graph-Based Scenario Models Dominate Verification?の中にあった、 Horizontal Verufucation ReusとVertical Verification Reuse Horizontal Ver…

OpenCL 2.0

@Vengineerの戯言 : Twitter SystemVerilogの世界へようこそ、すべては、SystemC v0.9公開から始まった 先週のSC2013にて、OpenCL 2.0がFinalizeされた模様。 仕様書の155頁から始まる6.13.16 Pipe Functions。 この部分は今までとちょっと違うところ。これ…

PowerPCでもAltera OpenCLが利用可能に。

@Vengineerの戯言 : Twitter SystemVerilogの世界へようこそ、すべては、SystemC v0.9公開から始まった SC2013にて、XilinxがOpenCLをデモしたようなのですが、 OpenCLに対して先を行っているAlteraは、PowerPCでもOpenCLが利用可能に、 Altera Brings FPGA-…

XilinxがOpenCLのデモを!

@Vengineerの戯言 : Twitter SystemVerilogの世界へようこそ、すべては、SystemC v0.9公開から始まった ちょっと前に、TwitterのTLで流れたXilinxのOpenCLのデモ。 先週開催されていたSC2013にて、そのデモが実現したようです。 Xilinx and Its Ecosystem Sh…

祝!200000訪問者、達成!

@Vengineerの戯言 : Twitter SystemVerilogの世界へようこそ、すべては、SystemC v0.9公開から始まった どうにか、2013年内に200000訪問者を達成できました。 2013年11月22日、22時15分には達成していました。 前回の本日、150000訪問者、達成!から約450日(1…

HLSで消費電力を削減

@Vengineerの戯言 : Twitter SystemVerilogの世界へようこそ、すべては、SystemC v0.9公開から始まった HLSを使えば、開発生産性が上がり、性能の調整もマニュアル記述のRTLよりも楽チン。 最近はモバイルアプリケーションが中心になっているので、消費電力…

Vtune AmplifierがOpenCL解析機能の強化

@Vengineerの戯言 : Twitter SystemVerilogの世界へようこそ、すべては、SystemC v0.9公開から始まった IntelのVtune Amplifier XE 2013 Update 14では、GPUでOpenCLを使用した時のメモリ転送の解析をサポートしたようです。 また、OpenCLのカーネル実行につ…

CAPSがOpenACC 2.0対応をアナウンス

@Vengineerの戯言 : Twitter SystemVerilogの世界へようこそ、すべては、SystemC v0.9公開から始まった 今週のSC13に先立って、 CAPS Announcing Full Support for OpenACC 2.0 in its Compilers。 CAPSはOpenACC対応には積極的で既に、 OpenACC 1.0 => CUDA…

C++ Compiler 14.0 for Android

@Vengineerの戯言 : Twitter SystemVerilogの世界へようこそ、すべては、SystemC v0.9公開から始まった インテルがIntel® C++ Compiler 14.0 for Androidをリリース。 お値段は9800円。サポートはフォーラムで。 これに伴い、無料ダウンロード可能だった、v.…

AMD APP SDK v2.9リリース

@Vengineerの戯言 : Twitter SystemVerilogの世界へようこそ、すべては、SystemC v0.9公開から始まった AMDが久しぶりにAPP SDKをアップデートし、v.2.9に。 Accelerated Parallel Processing (APP) SDK これには、オープンソースのBoltとOpenCLでアクセラレ…

NVIDIAがCUDA 6をアナウンス

@Vengineerの戯言 : Twitter SystemVerilogの世界へようこそ、すべては、SystemC v0.9公開から始まった NVIDIAからCUDA 6のアナウンスがされました。 CUDA 6 Release Simplifies Parallel Programming With Unified Memory, Drop-In Librariesにあるように、…

Ivy BridgeのGPUでOpenCLを

@Vengineerの戯言 : Twitter SystemVerilogの世界へようこそ、すべては、SystemC v0.9公開から始まった Beignetは、 IntelのIvy BridgeのGPUでOpenCLをサポートするオープンソースプロジェクト。 OpenCL 1.2のサポートもするようです。 Intelが関わっている…

AmazonでGPUが利用可能に

@Vengineerの戯言 : Twitter SystemVerilogの世界へようこそ、すべては、SystemC v0.9公開から始まった AmazonがAWSでNVIDIAのGPU(GK104、1536コア)が利用できるようになったようです。 Build 3D Streaming Applications with EC2's New G2 Instance Type GK…

Mali-T600のプレゼンテーション資料

@Vengineerの戯言 : Twitter SystemVerilogの世界へようこそ、すべては、SystemC v0.9公開から始まった ARM Techcon 2013でのプレゼンテーション資料、 GPU Compute for Mobile Devices。 105頁もんで、Mali-T600シリーズのお話の模様。OpenCLとRenderScript…

巨人(TITAN)より凄いぜ!

@Vengineerの戯言 : Twitter SystemVerilogの世界へようこそ、すべては、SystemC v0.9公開から始まった NVIDIAからGeForce GTX 780 Tiがリリースされました。 あのGeForce GTX TITANよりもコア数が多くて、お値段もお安い699ドルです。 秋葉原では85000円ぐ…

SoC検証には、Hardware Assisted Verificationが必要と?

@Vengineerの戯言 : Twitter SystemVerilogの世界へようこそ、すべては、SystemC v0.9公開から始まった EETimesの記事、 Can Hardware-Assisted Verification Save SoC Realization Time? SoCの検証には、Hardware-Assisted Verificationが必要と。 そのため…

Software-Driven SoC Development

@Vengineerの戯言 : Twitter SystemVerilogの世界へようこそ、すべては、SystemC v0.9公開から始まった ケイデンスのRichard Goeringさんのブログ、Software-Driven SoC Development – The Next Big Step in IC Design?、長いですが、読みましょう! Softwar…

ATOMでOpenCL

@Vengineerの戯言 : Twitter SystemVerilogの世界へようこそ、すべては、SystemC v0.9公開から始まった Intel® SDK for OpenCL* Applications 2013がHaswellとBay Trail-Tをサポートしたようです。 引用 4th Generation Intel® Core™ Processors with: Intel…

ODROID-UXの性能

@Vengineerの戯言 : Twitter SystemVerilogの世界へようこそ、すべては、SystemC v0.9公開から始まった ODROID-XU's ARM big.LITTLE A7 + A15 Octa-Coreでは、 このブログでも何回か取り上げたODROID-UX。 搭載されているSoCは、 Samsumgの•Exynos5 Octa(Cor…

KitkatとRenderScript

@Vengineerの戯言 : Twitter SystemVerilogの世界へようこそ、すべては、SystemC v0.9公開から始まった DevBytes: What's New in Android 4.4の最初の方に、 RenderScript NDK とあります。 KitKatのページにチョットだけ記載がありました。 どうやら、Nativ…

俺様SoC v8

@Vengineerの戯言 : Twitter SystemVerilogの世界へようこそ、すべては、SystemC v0.9公開から始まった 先週、 Altera Announces Quad-Core 64-bit ARM Cortex-A53 for Stratix 10 SoCs ということに。 FPGAに64bitCPUが4つも搭載される時代が来ます。 ただ…

今月(10月)の映画鑑賞

映画好きの戯言 今月は、21本。 アンジェラ、ロックンロールミシン、ドミノ、恋する惑星、ブラックホーク・ダウンが良かったですね。 ダークシティ/DARK CITY(1998)(UULA) アンジェラ/ANGEL-A(2005)(UULA) クィーン/THE QUEEN(2006)(UULA) リボルバー/REVOLV…

QuantumLeap

@Vengineerの戯言 : Twitter SystemVerilogの世界へようこそ、すべては、SystemC v0.9公開から始まった Imperas Delivers QuantumLeap Simulation Synchronization – Industry's First Parallel Virtual Platform Simulatorによると、マルチコアのPCでのシミ…