Vengineerの戯言

人生は短いけど、長いです。人生を楽しみましょう!

2011-12-01から1ヶ月間の記事一覧

2011年は、やっぱ、FPGAの年

Verification Evangelistの戯言 : Twitter SystemVerilogの世界へようこそ、すべては、SystemC v0.9公開から始まった 今年の元旦(2011年1月1日)のブログHLSとFPGAでは、 今年(2011年)はFPGAが盛り上がる!。 と書きました。 で、結果は? やっぱ、2011年は…

今月(12月)の映画鑑賞

映画好きの戯言 今月は、多い! 10本。 エルム街の悪夢/A NIGHTMARE ON ELM STREET(2010)(BD) ナルニア国物語/第3章:アスラン王と魔法の島/THE CHRONICLES OF NARNIA: THE VOYAGE OF THE DAWN TREADER(2010)(BD) ブレードランナー/BLADE RUNNER(1982)(超…

MISSION: IMPOSSIBLE - GHOST PROTOCOL

映画好きの戯言 ミッション:インポッシブル/ゴースト・プロトコル/MISSION: IMPOSSIBLE - GHOST PROTOCOL(2011)を 見てきました。 一言、凄いです。アクションが。。。 次の3点が見所です。 ・何かから落下する。今回はかなりの回数、落下しています。 ・…

string

Verification Evangelistの戯言 : Twitter SystemVerilogの世界へようこそ、すべては、SystemC v0.9公開から始まった SystemVerilogでは、文字列を扱うstringというタイプも導入されました。 string name = "Verification Evangelist"; のように文字列の変数…

2値と4値

Verification Evangelistの戯言 : Twitter SystemVerilogの世界へようこそ、すべては、SystemC v0.9公開から始まった Verilog HDLでは、以下のタイプはすべて4値( 1, 0, H, Z )です。 reg : ユーザ定義のベクタサイズ integer : 32bit signed integer time …

signed/unsigned と shortreal

Verification Evangelistの戯言 : Twitter SystemVerilogの世界へようこそ、すべては、SystemC v0.9公開から始まった SystemVerilogでは、32bitのsigned integerのintegerの他に、次の整数が導入されました。 byte : 8bit signed integer shortint : 16bit s…

2011年:映画鑑賞、DVD/BD編

映画好きの戯言 グリーン・ゾーン/GREEN ZONE(2010) サベイランス/SURVEILLANCE(2008) アイアンマン2/IRON MAN 2(2010) ソルト/SALT(2010) インセプション/INCEPTION(2010) クレイジー・ハート/CRAZY HEART(2009) プレシャス/PRECIOUS: BASED ON THE OVEL P…

2011年:映画鑑賞、劇場編

映画好きの戯言 たった、2回です。 ソーシャル・ネットワーク/THE SOCIAL NETWORK(2010) 猿の惑星:創世記(ジェネシス)/RISE OF THE PLANET OF THE APES(2011) 12/30(金)に会員資格更新して1000円(会員更新料+500円)で見ました。3本目。 ミッション:イ…

processクラス

Verification Evangelistの戯言 : Twitter SystemVerilogの世界へようこそ、すべては、SystemC v0.9公開から始まった SystemVerilogには、processクラスというものがあります。 AldecのSystemVerilogリファレンスのClass processからの引用 class process; t…

fork/join

Verification Evangelistの戯言 : Twitter SystemVerilogの世界へようこそ、すべては、SystemC v0.9公開から始まった Verilog HDLでは、fork/joinという並列プロセスを起動するための機能がサポートされています。 SystemVerilogでは加えて、fork/join_anyと…

ビューワーは別アプリケーション

Verification Evangelistの戯言 : Twitter SystemVerilogの世界へようこそ、すべては、SystemC v0.9公開から始まった マルチコアがあたり前になってしまったPC。 なのにHDLシミュレータはあまりマルチコア対応していません (VCSはマルチコア対応になっていま…

ModelSim/Questa互換コマンド

Verification Evangelistの戯言 : Twitter SystemVerilogの世界へようこそ、すべては、SystemC v0.9公開から始まった Aldecのシミュレータ(Active HDL/Riviera-PRO)の内部コマンド(シミュレータ起動後のコマンド)は、 ModelSim/Questaと互換になっているもの…

SystemVerilog/Verilog HDLリファレンス

Verification Evangelistの戯言 : Twitter SystemVerilogの世界へようこそ、すべては、SystemC v0.9公開から始まった Riviera-PRO 2011.11のドキュメントには、SystemVerilog/Verilog HDLリファレンスがあります。 (VHDLリフェレンスもありますが、私はVHDL…

UVM with VMM

Verification Evangelistの戯言 : Twitter SystemVerilogの世界へようこそ、すべては、SystemC v0.9公開から始まった VMMとUVMを一緒に使う。どうすればいいのでしょうか? 確か、UVMが出る前はVMMとOVMを一緒に使うためのKitがありました。 そして、VMMとUV…

熱でフリーズしないためにも、VPで検証を

Verification Evangelistの戯言 : Twitter SystemVerilogの世界へようこそ、すべては、SystemC v0.9公開から始まった 昨日発売の富士通 Arrows ISW11Fは、熱くなってフリーズするという不具合が発生しています。 しかも、パッケージ内に注意書きの紙が最初か…

&& と &

Verification Evangelistの戯言 : Twitter SystemVerilogの世界へようこそ、すべては、SystemC v0.9公開から始まった をありえないない話ではないが、Verilog HDLにおいて ・論理 AND : && ・ビット AND : & を間違えると、どうなるか? これについて、 Sled…

UVM 1.1aリリース

Verification Evangelistの戯言 : Twitter SystemVerilogの世界へようこそ、すべては、SystemC v0.9公開から始まった Download UVMに、UVM 1.1aがアップされています。 UVM Worldではなく、Accelleraのサイトにあります。 検証、Verification、UVM

今年も首位、Catapult

Verification Evangelistの戯言 : Twitter SystemVerilogの世界へようこそ、すべては、SystemC v0.9公開から始まった 元Mentorの高位合成ツール「Catapult」、Calyptoによる買収でこう変わるによると、 米Gray Smith EDA社の2010年のHLSのシェアは、(ちなみ…

Riviera-PRO 2011.10のその他の機能

Verification Evangelistの戯言 : Twitter SystemVerilogの世界へようこそ、すべては、SystemC v0.9公開から始まった その他にRiviera-PRO 2011.10でサポートしているものは、 ・コードカバレッジ ・デザインプロファイラ (あると便利ですが、あまり使われて…

Riviera-PRO 2011.10がサポートしているライブラリ

Verification Evangelistの戯言 : Twitter SystemVerilogの世界へようこそ、すべては、SystemC v0.9公開から始まった インストール後、vlibディレクトリをチェックしたら、 ・ovl ・ovm-2.0.3 ・ovm-2.1.2 ・uvm-1.0p1 ・uvm-1.1 ・vtl がありました。残念な…

Riviera-PRO 2011.10がサポートしている言語

Verification Evangelistの戯言 : Twitter SystemVerilogの世界へようこそ、すべては、SystemC v0.9公開から始まった Riviera-PRO 2011.10でサポートしているのは、 ・Verilog HDL (PLI/VPI) ・VHDL (VHPI) ・SystemVerilog ・SystemC ・OVA(OpenVera Assert…

Riviera-PRO 2011.10/Windows 7 64bit版をインストールしてみた

Verification Evangelistの戯言 : Twitter SystemVerilogの世界へようこそ、すべては、SystemC v0.9公開から始まった AldecのRiviera-PROの最新版である2011.10をインストールしてみた。 ダウンロードは、こちらから。 ModelSimはWindows 32ビット版を64ビッ…

メンターの仮想デバイスソリューション?

Verification Evangelistの戯言 : Twitter SystemVerilogの世界へようこそ、すべては、SystemC v0.9公開から始まった Chip Designに Beyond Confluence: Virtual Devices Expand the Emulation Landscapeという記事がアップされています。 内容はMentorのシ…

TDD(Test Driven Development)

Verification Evangelistの戯言 : Twitter SystemVerilogの世界へようこそ、すべては、SystemC v0.9公開から始まった AgileSocには、SystemVerilogによるTDD(Test Driven Development)をやるという内容のブログです。 SVUnit frameworkというものを使うよう…

Getting started with the UVM – Using the Register Modeling

Verification Evangelistの戯言 : Twitter SystemVerilogの世界へようこそ、すべては、SystemC v0.9公開から始まった メンターのブログにて、 DaveさんがGetting started with the UVM – Using the Register Modelingをアップしています。 レジスタモデルで…

Overridden with Overrides

Verification Evangelistの戯言 : Twitter SystemVerilogの世界へようこそ、すべては、SystemC v0.9公開から始まった メンターのDaveさんのブログ:Overridden with Overridesでは、 SystemVerilogに関して、ちょこっと書いてあります。 parameter, localpar…

SystemVerilogの機能紹介

Verification Evangelistの戯言 : Twitter SystemVerilogの世界へようこそ、すべては、SystemC v0.9公開から始まった 2011年12月3日(土曜日)のTwitterによる「SystemVerilogの機能紹介」をtogetterしました。 ご利用ください。 検証、Verification、SystemVe…

今月(11月)の映画鑑賞

映画好きの戯言 4本しか、見られなかった。 博士の異常な愛情/DR. STRANGELOVE: OR HOW I LEARNED TO STOP WORRYING AND LOVE THE BOMB(1964)(BD) ウルヴァリン:X-MEN ZERO/X-MEN ORIGINS: WOLVERINE(2009)(DVD) パラノーマル・アクティビティ2/PA…

UVM-ML

Verification Evangelistの戯言 : Twitter SystemVerilogの世界へようこそ、すべては、SystemC v0.9公開から始まった ケイデンスのブログ:Archived Webinar: Bringing SystemC and C/C++ Models into UVMでは、UVMをeとSystemCに拡張したUVM-MLについて紹介…

バグ曲線

Verification Evangelistの戯言 : Twitter SystemVerilogの世界へようこそ、すべては、SystemC v0.9公開から始まった DVCClub Austinのアーカイブを見てみたら、Verification Metrics Double Headerがあったのでチェック。 Oracleの人なのでSun関係と思った…