Vengineerの妄想(準備期間)

人生は短いけど、長いです。人生を楽しみましょう!

2011-12-26から1日間の記事一覧

fork/join

Verification Evangelistの戯言 : Twitter SystemVerilogの世界へようこそ、すべては、SystemC v0.9公開から始まった Verilog HDLでは、fork/joinという並列プロセスを起動するための機能がサポートされています。 SystemVerilogでは加えて、fork/join_anyと…