Vengineerの妄想(準備期間)

人生は短いけど、長いです。人生を楽しみましょう!

signed/unsigned と shortreal


SystemVerilogでは、32bitのsigned integerのintegerの他に、次の整数が導入されました。
     byte     :  8bit signed integer
     shortint : 16bit signed integer
     int      : 32bit signed integer
     longint  : 64bit signed integer

また、符号有りだけでなく、符号無しの変数が導入されました。
     byte signed       :  8bit   signed integer
     byte unsigned     :  8bit unsigned integer
     shortint   signed : 16bit   signed integer
     shortint unsigned : 16bit unsigned integer
     int   signed      : 32bit   signed integer
     int unsigned      : 32bit unsigned integer
     longint   signed  : 64bit   signed integer
     longint unsigned  : 64bit unsigned integer

また、Verilog HDLでは浮動小数点realはC言語のdoubleですが、
SystemVerilogではC言語のrealであるshortrealも導入されました。

各ビット幅に合わせて、使ってみてください。

検証、Verification、SystemVerilogー