Vengineerの戯言

人生は短いけど、長いです。人生を楽しみましょう!

Aldec

学生は無償で使えるよ

@Vengineerの戯言 : Twitter SystemVerilogの世界へようこそ、すべては、SystemC v0.9公開から始まった このブログでは、何度も紹介していますが、 AldecのActive-HDL Student Editionは、学生なら無償で使えますよ。 特徴は、 引用 ・Mixed language simula…

Why I see C in SCE-MI

@Vengineerの戯言 : Twitter SystemVerilogの世界へようこそ、すべては、SystemC v0.9公開から始まった Why I see C in SCE-MI Aldecのブログは、実装を含めて結構詳しく書いてあるので、いいですよ。 エミュレータを使って、検証を速く終わらせる作戦。 テ…

Aldecのカバレッジ

@Vengineerの戯言 : Twitter SystemVerilogの世界へようこそ、すべては、SystemC v0.9公開から始まった 昨日はケイデンスについて書きましたが、今日はAldecです。 アルデック、要求ベース・ユーザー定義テストプランをカバレッジに組み込んだ検証手法を開発…

EDAPlaygroundでPSLが利用可能に

@Vengineerの戯言 : Twitter SystemVerilogの世界へようこそ、すべては、SystemC v0.9公開から始まった EDAPlaygroundでAldecのRiviera-PRO EDUが利用できるようになったことは、このブログでも書きました。 これに伴い、PSLがサポートされました。 VHDLでは…

EDA Playgroundで、Aldec Riviera-PRO EDUが利用可能に

@Vengineerの戯言 : Twitter SystemVerilogの世界へようこそ、すべては、SystemC v0.9公開から始まった Announcement: Riviera-PRO EDU Available on EDA Playground ただし、MentorのModelSimとQuestaSimの違いと同じく、 Riviera-PRO EDUでは、SystemVeril…

Riciera-PROとVIVADO

@Vengineerの戯言 : Twitter SystemVerilogの世界へようこそ、すべては、SystemC v0.9公開から始まった Starting Riviera-PRO as the Default Simulator in Xilinx VIVADO™は、 XilinxのVIVADOでAldecのRiviera-PROを組み込んで連携するための作業を教えてく…

Riviera-PRO 2013.02リリース

@Vengineerの戯言 : Twitter SystemVerilogの世界へようこそ、すべては、SystemC v0.9公開から始まった AldecのRiviera-PROの最新バージョン(2013.02)がリリースされました。 リリースノートやダウンロードはユーザー登録が必要です。 今回のリリースで気に…

AldecでXilinxのAXI BFMを使う

@Vengineerの戯言 : Twitter SystemVerilogの世界へようこそ、すべては、SystemC v0.9公開から始まった AldecのSimulating AXI BFM Examples Available in Xilinx CORE Generatorでは、 XilinxのAXI BFMを使ったシミュレーションに関するApplication Noteで…

Active-HDLの製品構成

Verification Evangelistの戯言 : Twitter SystemVerilogの世界へようこそ、すべては、SystemC v0.9公開から始まった Active-HDL製品構成によると、 Desktop Master Designed Editor Plus Edition Expert Edition の3種類。すべてのバージョンで、VHDL-2008…

Riviera-PRO 2012.10

Verification Evangelistの戯言 : Twitter SystemVerilogの世界へようこそ、すべては、SystemC v0.9公開から始まった Aldecは、Riviera-PRO 2012.10をリリースしましたね。 引用 ・シミュレーション性能の改善 – VHDLシミュレーションが20%高速になりました!…

Aldec Newsletter Q4-2012

Verification Evangelistの戯言 : Twitter SystemVerilogの世界へようこそ、すべては、SystemC v0.9公開から始まった 定例のAldecのニューズレターです。 Q4-2012 - Aldec™ Design and Verification Newsletter この中に、UVM Webinar for Hardware Designer…

HES-7

Verification Evanelistの戯言 : Twitter SystemVerilogの世界へようこそ、すべては、SystemC v0.9公開から始まった AldecがXilinxのVirtex-7を使ったASICプロトタイピングシステム「HES-7」をアナウンスしましたね。 Aldecのプレスリリース EDA Expressの記…

AldecとVerific

Verification Evangelistの戯言 : Twitter SystemVerilogの世界へようこそ、すべては、SystemC v0.9公開から始まった Verific Design Automation's SystemVerilog, VHDL Parsers Linked with Aldec's Hardware Emulation Solutionによると、HESにVerificのSy…

AldecのUVMトレーニング

Verification Evangelistの戯言 : Twitter SystemVerilogの世界へようこそ、すべては、SystemC v0.9公開から始まった AldecがUVMのトレーニング(3日)をやるようです。 Fast Track to UVM Seminar SEP 18 - 20, 2012 Dallas, TX OCT 02 - 04, 2012 Irvine, CA…

Riviera-PRO 2012.06

Verification Evangelistの戯言 : Twitter SystemVerilogの世界へようこそ、すべては、SystemC v0.9公開から始まった AldecのRiviera-PRO 2012.06がリリースされました。 Aldec、頑張っていますね。 リリースノートから引用です。 Core Simulation Engine: *…

Aldec Cloud がワールドワイド対応になり、今なら無料トライアル!

Verification Evangelistの戯言 : Twitter SystemVerilogの世界へようこそ、すべては、SystemC v0.9公開から始まった 以前、Aldec Cloudが公開され、北米だけで利用可能であるとお伝えしましたが、 北米だけでなくワールドワイドで利用可能になり、 しかも、…

Aldec Cloud

Verification Evangelistの戯言 : Twitter SystemVerilogの世界へようこそ、すべては、SystemC v0.9公開から始まった Aldecがクラウドサービスを始めました:Aldec Cloud 現状では、北米のみのサービスです。 デモビデオを見るとどんな感じかがわかります。 …

OS-VVM

Verification Evangelistの戯言 : Twitter SystemVerilogの世界へようこそ、すべては、SystemC v0.9公開から始まった AldecとSynthWorksは、 VHDL用にランダム生成とカバレッジ機能を提供するパッケージである OS-VVM(Open Source - VHDL Verification Metho…

ビューワーは別アプリケーション

Verification Evangelistの戯言 : Twitter SystemVerilogの世界へようこそ、すべては、SystemC v0.9公開から始まった マルチコアがあたり前になってしまったPC。 なのにHDLシミュレータはあまりマルチコア対応していません (VCSはマルチコア対応になっていま…

ModelSim/Questa互換コマンド

Verification Evangelistの戯言 : Twitter SystemVerilogの世界へようこそ、すべては、SystemC v0.9公開から始まった Aldecのシミュレータ(Active HDL/Riviera-PRO)の内部コマンド(シミュレータ起動後のコマンド)は、 ModelSim/Questaと互換になっているもの…

SystemVerilog/Verilog HDLリファレンス

Verification Evangelistの戯言 : Twitter SystemVerilogの世界へようこそ、すべては、SystemC v0.9公開から始まった Riviera-PRO 2011.11のドキュメントには、SystemVerilog/Verilog HDLリファレンスがあります。 (VHDLリフェレンスもありますが、私はVHDL…

&& と &

Verification Evangelistの戯言 : Twitter SystemVerilogの世界へようこそ、すべては、SystemC v0.9公開から始まった をありえないない話ではないが、Verilog HDLにおいて ・論理 AND : && ・ビット AND : & を間違えると、どうなるか? これについて、 Sled…

Riviera-PRO 2011.10のその他の機能

Verification Evangelistの戯言 : Twitter SystemVerilogの世界へようこそ、すべては、SystemC v0.9公開から始まった その他にRiviera-PRO 2011.10でサポートしているものは、 ・コードカバレッジ ・デザインプロファイラ (あると便利ですが、あまり使われて…

Riviera-PRO 2011.10がサポートしているライブラリ

Verification Evangelistの戯言 : Twitter SystemVerilogの世界へようこそ、すべては、SystemC v0.9公開から始まった インストール後、vlibディレクトリをチェックしたら、 ・ovl ・ovm-2.0.3 ・ovm-2.1.2 ・uvm-1.0p1 ・uvm-1.1 ・vtl がありました。残念な…

Riviera-PRO 2011.10がサポートしている言語

Verification Evangelistの戯言 : Twitter SystemVerilogの世界へようこそ、すべては、SystemC v0.9公開から始まった Riviera-PRO 2011.10でサポートしているのは、 ・Verilog HDL (PLI/VPI) ・VHDL (VHPI) ・SystemVerilog ・SystemC ・OVA(OpenVera Assert…

Riviera-PRO 2011.10/Windows 7 64bit版をインストールしてみた

Verification Evangelistの戯言 : Twitter SystemVerilogの世界へようこそ、すべては、SystemC v0.9公開から始まった AldecのRiviera-PROの最新版である2011.10をインストールしてみた。 ダウンロードは、こちらから。 ModelSimはWindows 32ビット版を64ビッ…

Aldec Riviera-PRO : UVM 1.1をサポート

ー Verification Engineerの戯言 : Twitter SystemVerilogの世界へようこそ、すべては、SystemC v0.9公開から始まった Aldecは、Riviera-PRO 2011.10にてUVM 1.1をサポートしたようです。 OVM/VMM相互運用キットおよびUVMレジスタ・キットもサポートしている…

Aldec Web Seminar : Transaction Level Co-Emulation with Virtual Platform

Verification Engineerの戯言 : Twitter SystemVerilogの世界へようこそ、すべては、SystemC v0.9公開から始まった 10/13(木)にAldecがWeb Seminar:Transaction Level Co-Emulation with Virtul Platfomsを開催するようです。 このセミナーは、OVPを提供し…

Aldec Webinar

Verification Engineerの戯言 : Twitter SystemVerilogの世界へようこそ、すべては、SystemC v0.9公開から始まった AldecのWebinar : SystemVerilog: Who? What? When? Where?が今日(8/25)に行われます。 日本時間では、明日(8/26)の夜中だと思います。 頑張…

AldecのActive-HDLは、SystemVerilogをサポートしている

Verification Engineerの戯言 : Twitter SystemVerilogの世界へようこそ、すべては、SystemC v0.9公開から始まった AldecのRivera-PROはSystemVerilogをサポートしているが、Active-HDLはどうだろうか? Active-HDL 8.3 Software ManualのSupported SystemVe…