Vengineerの妄想(準備期間)

人生は短いけど、長いです。人生を楽しみましょう!

EDA Playgroundで、Aldec Riviera-PRO EDUが利用可能に



ただし、MentorのModelSimとQuestaSimの違いと同じく、
Riviera-PRO EDUでは、SystemVerilog Testbenchの機能は使えません。

P.S
2014.8.22追記
修正です。Riviera-PRO EDUでは下記のSystemVerilog Testbenchを使えます。
アルデックさん、すみません。確認不足でした。m(_ _)m

    ・ Randomization
    ・SystemVerilog assertions
    ・Functional coverage
    ・program blocks

iPadsafariでも動くEDA playgroudでお試しあれ!