Vengineerの妄想(準備期間)

人生は短いけど、長いです。人生を楽しみましょう!

誰でも、Specmanが使えるぞ!


EDA Playgroundで、AldecのRiviera-PROが利用できますが、
Specmanも利用できるようです。YouTubeにビデオがアップされています。

EDA Playgroundの左の「Testbench + Design」でSpecman e + SV/Verilog」を選ぶと、Specman 2014.10が利用できるようです。

左下のExamplesで「e + Verilog」、e Hello Worldなどの例題があります。
実行するには、ログインが必要です。

いかがでしょうか?