Vengineerの妄想(準備期間)

人生は短いけど、長いです。人生を楽しみましょう!

Playground


Playgroundって、知っていますか?


などなど、ブラウザ上で各言語が動くというモノ。

で、HDLでもそのようなものがあります。Verilog HDL/SystemVerilog用です。


TestbenchとDUTを各フレームに書き込んで、いったんセーブしてから、Runする。
そうすると、下のフレームにログが表示されます。

iPadからでも出来たので、どこでもコードを書いてテストできるということです。

ただし、制約があります。60秒と100MBのメモリ。

なので、お勉強用ですね。シミュレータをインストールしなくてもお試しできると。

シミュレータは、ModelSim、Icarus、GVer、Veriwellです。
MentorのQuestaSimもありますが、こちらはコンパイルだけです。
シミュレーションには、ライセンスが必要だから、コンパイルだけ!

左下に、いろいろな例題があるので、どんな感じか、雰囲気を味わえます。

また、Youtubeにも各種ビデオ(Verilog HDLチュートリアル/合成)がアップされています。

Twitterのアカウントは、@EDAPlaygournd

開発元は、Victor EDALinkedInのアカウント
EDA Playgroudの他にEPWaveなる波形表示アプリ(VCDファイル表示)もあります。
EPWaveは、どこかのURLにあるVCDファイルを表示するものです。
EDA Playgroundの左の「Open EPWave after run」をイネーブルにすれば、シミュレーション後、EPWaveが立ち上がるようです。

久しぶりに面白いサイトの紹介でした。

検証、Verification、SystemVerilog