Vengineerの妄想(準備期間)

人生は短いけど、長いです。人生を楽しみましょう!

Aldec

Latticeは、AldecのActive-HDL

Verification Engineerの戯言 : Twitter SystemVerilogの世界へようこそ、すべては、SystemC v0.9公開から始まった Xilinxは独自のISim、Altera/ActelはModelSim OEM版でしたが、 LatticeはAldecのActive HDL OEM版です。 Lattice Diamondシリーズ(有償/無償…

HES-EDU

Verification Engineerの戯言 : Twitter SystemVerilogの世界へようこそ、すべては、SystemC v0.9公開から始まった HES-EDUでは、大学にて、Riviera-PRO、HES5(FPGA board) そして、DVM(Design Verification Manager software)が利用できるようです。 サポー…

Aldec NewsLetter Q3, 2011

Verification Engineerの戯言 : Twitter SystemVerilogの世界へようこそ、すべては、SystemC v0.9公開から始まった Aldecが毎Qに発行するNewsLetter。 今回の注目点は、 UVM Transaction-Level Visual Debugging です。 関連資料は、UVM Transaction Debuggi…

AldecとEmulator

Verification Engineerの戯言 : Twitter SystemVerilogの世界へようこそ、すべては、SystemC v0.9公開から始まった エミュレーションのページを見ると、Aldecのエミュレーション機能がわかります。 エミュレーションでは、HDLシミュレータは使いません。 エ…

AldecとSCE-MI

Verification Engineerの戯言 : Twitter SystemVerilogの世界へようこそ、すべては、SystemC v0.9公開から始まった ALDECとImperasでAldecのHESとImperasをSCE-MI APIで接続したということをお伝えしましたが、 AldecのSCE-MI対応は2008年4月23日の アルデッ…

AldecのCo-Verification

Verification Engineerの戯言 : Twitter SystemVerilogの世界へようこそ、すべては、SystemC v0.9公開から始まった ARM/NIOS II Co-Verificationでは、AldecのHES環境でARMが使えるという。 まだ、XlinixのVirtex7は出ていないので、どうなっているのでしょ…

AldecのHESとImperasのデモ

Verification Engineerの戯言 : Twitter SystemVerilogの世界へようこそ、すべては、SystemC v0.9公開から始まった SystemC Japan 2011の懇親会会場にて、 AldecのHESとImperasのデモを見ました。 ImperasのCベースのシミュレーション環境に、 AldecのHESに…

ALDECとImperas

Verification Engineerの戯言 : Twitter SystemVerilogの世界へようこそ、すべては、SystemC v0.9公開から始まった SystemC Japan 2011にて席に置いてあったチラシの中に、 トランザクションレベルでのバーチャルプラットフォーム環境なるものを発見。 どう…

Aldec Riviera-PRO 2011.06リリース

Verification Engineerの戯言 : Twitter SystemVerilogの世界へようこそ、すべては、SystemC v0.9公開から始まった AldecのRiviera-PRO 2011.06がリリースされました。 今回のリリースでは、OVM 2.1.2およびUVM 1.0p1がサポートされました。 また、以下のよ…

Aldec : 2010.10リリースされる

Verification Engineerの戯言 : Twitter SystemVerilogの世界へようこそ、すべては、SystemC v0.9公開から始まった AldecのRiviera-PROの2010.10が公開されました。ダウンロードもできます。 2010.10にて、 ・OVM and UVM Support ということで、OVM 2.1.1お…

Active-HDL Designer Editionを50%オフでご提供!

Verification Engineerの戯言 : Twitter SystemVerilogの世界へようこそ、すべては、SystemC v0.9公開から始まった ModelSim XEが無くなるに対応して、Aldecがキャンペーンを始めました。 Active-HDL Designer Editionを50%オフでご提供! Nodelock 1 Year T…

Aldec : vlog -dpiheader

Verification Engineerの戯言 : Twitter SystemVerilogの世界へようこそ、すべては、SystemC v0.9公開から始まった 無償ツールで実践する「ハード・ソフト協調検証」(4) ―― SystemVerilog側のDPI-Cの記述を作成するのリスト6の部分をvlog -dpiheader コマン…

Aldec :bind

Verification Engineerの戯言 : SystemVerilogの世界へようこそ、すべては、SystemC v0.9公開から始まった SystemVerilogでは、デザインに手を加えること無しにモジュール内に他のモジュールのインスタンスを置くことができます。 これを行うのが、bindです…

Aldecの記事

Verification Engineerの戯言 Adept Alternative : Aldec Turns up the Simulation Heatは、AldecのActive-HDL、ALINT、Riviera-PROに関する記事です。 検証、Verification、Aldec

Aldec : Covearage Database

Verification Engineerの戯言 : SystemVerilogの世界へようこそ、すべては、SystemC v0.9公開から始まった Aldecシリーズの第4弾は、Coverage Databaseについてです。 AldecのCoverage用データベース(ACDB:Aldec Coverage DataBase)。 このデータベースのフ…

Aldec :プロジェクトファイル内のディレクトリ

Verification Engineerの戯言 : SystemVerilogの世界へようこそ、すべては、SystemC v0.9公開から始まった Aldecシリーズの第3弾は、プロジェクトファイル内のディレクトリについてです。 Aldec :プロジェクトファイルでは、デザインファイル(rdsn)内で、 …

Aldec :プロジェクトファイル

Verification Engineerの戯言 : SystemVerilogの世界へようこそ、すべては、SystemC v0.9公開から始まった Aldecシリーズの第2弾は、プロジェクトファイルについてです。 プロジェクトファイル(rdsn、rwsp)は、XMLライクなフォーマットを使用しています。 rw…

Aldec : DPI-C

Verification Engineerの戯言 : SystemVerilogの世界へようこそ、すべては、SystemC v0.9公開から始まった Aldecシリーズの第1弾は、私のお気に入りのDPI-Cです。 Riviera-PROは、SystemVerilog DPI-Cをサポートしています。 examples/interface/dpi/basicデ…

この暑い夏は、Aldecを使ってみる

Verification Engineerの戯言 : SystemVerilogの世界へようこそ、すべては、SystemC v0.9公開から始まった 2009年の暑い夏は、Bluespec SystemVerilogを学びました。 この件については、こちらにまとめています 今年(2010年)は、OVM/UVMサポートを表明したAl…

Aldec Riviera-PROもUVM/OVMをサポート

Verification Engineerの戯言 : SystemVerilogの世界へようこそ、すべては、SystemC v0.9公開から始まった。 AldecのRIviera-PROもOVM/UVMをサポートするようです。 DAC2010にて、 OVM and UVM - Building a SystemVerilog Testbench in Riviera-PROというセ…

Aldecが25周年

Verification Engineerの戯言 Aldec Celebrates 25 Yearsによると、Aldecは25周年になったようです。 Model Technologyのようになるか? 検証、Verification、Aldec

Active-HDL Lattice Web Edition

Verification Engineerの戯言 ディジタル・デザイン・テクノロジーの創刊号には、Active-HDL Lattice Web EditionがCD-ROMに入っている。バージョンは、8.1らしい。 Aldec Active-HDL 7,2 Student Editionより新しいバージョンだ! 検証、Verification

Aldec Newsletter

Verification Engineerの戯言 昨日に続き、Aldecネタ。 Aldec Newsletterによると、2008年の1年間でAldec Mixed-Language Simulatorが7.4%アップしたようです。 そう言えば、約10年前、Model TechnologyのModelSimがMixed-Languageサポートしたころ、 某EDA…

Aldec Active-HDL 7,2 Student Edition

Verification Engineerの戯言 Aldecは、、製品版 Active-HDL をベースにした Active-HDL Student Edition を無償にて提供しています。 いままで、このStudent Editionは、学生でないと利用できない思っていましたが、 学生だけでなくどなたでも利用できるよ…

OVM 2.0 : Aldecがサポートを正式表明

Verification Engineerの戯言 アルデック社、OVM World パートナーへ OVM 2.0 サポート計画を発表しました。 Riviera-PROは、2009年第一四半期のリリースから OVM 2.0 のサポートを開始する予定ですのようです。 検証、Verification、SystemVerilog、OVM、Op…

Aldec Riviera-PRO2008.06の機能

Verification Engineerの戯言 Riviera-PRO2008.06の機能として、 ・VHDL : Draft IEEE P1076-2008 D4.2サポート ・SystemVerilogのクラス機能のサポート強化 (でも、すべてをサポートしているわけではないようです) そして、 ・ToolsメニューにてDPI-Cアプリ…

OVM : AldecもOVMをサポート!

Verification Engineerの戯言 AldecもOVMをサポートするようです。 今年のDACのデモスイートで45分のプレゼンテーション「SystemVerilog Testbench and OVM Support」を行うようです。 検証、Verification、SystemVerilog、OVM、Open Verification Methodolo…

ALDEC : SystemC Resource

Verification Engineerの戯言 2007年5月1日に、Aldec Verification Methodology Seminarsについて書きましたが、 http://blogs.yahoo.co.jp/verification_engineer/4903903.html Aldec社のSystemC関連のリソースが下記のURLにあります。 http://www.aldec.co…

ALDEC Verification Methodology Seminars

Verification Engineerの戯言 Aldec社では、Web Seminarを行っています。 http://www.aldec.com/avms/ 現在、配信中のもの以外に過去、配信したものもユーザー登録するだけで見る(受ける)ことができます。 セミナーの内容は、検証の多岐にわたります。 1)、O…