Vengineerの妄想(準備期間)

人生は短いけど、長いです。人生を楽しみましょう!

2009-04-08から1日間の記事一覧

Aldec Active-HDL 7,2 Student Edition

Verification Engineerの戯言 Aldecは、、製品版 Active-HDL をベースにした Active-HDL Student Edition を無償にて提供しています。 いままで、このStudent Editionは、学生でないと利用できない思っていましたが、 学生だけでなくどなたでも利用できるよ…