Vengineerの妄想(準備期間)

人生は短いけど、長いです。人生を楽しみましょう!

Aldec Active-HDL 7,2 Student Edition

Verification Engineerの戯言

Aldecは、、製品版 Active-HDL をベースにした Active-HDL Student Edition を無償にて提供しています。
いままで、このStudent Editionは、学生でないと利用できない思っていましたが、
学生だけでなくどなたでも利用できるようです。知らなかった。
(ちなみにActive-HDLの最新版は、8.1です。)

そして、VHDL/Verilog HDLだけでなく、SystemCの学習にも利用できるようです。
    # SystemC VHDL/Verilog ダイレクト・インターフェース
    # SystemC トランザクション・ウィザード
という機能も提供されているので、混在も可能なのかもしれません。

ダウンロードには、ユーザー情報の登録は必要ですが、無償というところは魅力ですね!

検証、Verification