Vengineerの妄想(準備期間)

人生は短いけど、長いです。人生を楽しみましょう!

2012-12-20から1日間の記事一覧

Active-HDLの製品構成

Verification Evangelistの戯言 : Twitter SystemVerilogの世界へようこそ、すべては、SystemC v0.9公開から始まった Active-HDL製品構成によると、 Desktop Master Designed Editor Plus Edition Expert Edition の3種類。すべてのバージョンで、VHDL-2008…