Vengineerの妄想(準備期間)

人生は短いけど、長いです。人生を楽しみましょう!

Aldec Riviera-PROもUVM/OVMをサポート


AldecのRIviera-PROもOVM/UVMをサポートするようです。

DAC2010にて、
OVM and UVM - Building a SystemVerilog Testbench in Riviera-PROというセミナーを行うようです。
45分。

検証、Verification、SystemVerilog、UVM、Unified Verification Methodology、Aldec