Vengineerの妄想(準備期間)

人生は短いけど、長いです。人生を楽しみましょう!

2010-06-08から1日間の記事一覧

Aldec Riviera-PROもUVM/OVMをサポート

Verification Engineerの戯言 : SystemVerilogの世界へようこそ、すべては、SystemC v0.9公開から始まった。 AldecのRIviera-PROもOVM/UVMをサポートするようです。 DAC2010にて、 OVM and UVM - Building a SystemVerilog Testbench in Riviera-PROというセ…

Mentor Graphics Underscores Support for OVM and Extends That Support to UVM Across Multiple Products

Verification Engineerの戯言 : SystemVerilogの世界へようこそ、すべては、SystemC v0.9公開から始まった。 Mentor Graphics Underscores Support for OVM and Extends That Support to UVM Across Multiple Products ・Questa?? advanced verification pla…