Vengineerの妄想(準備期間)

人生は短いけど、長いです。人生を楽しみましょう!

Aldec NewsLetter Q3, 2011



今回の注目点は、

UVM Transaction-Level Visual Debugging

です。

関連資料は、UVM Transaction Debuggingです。是非、チェックしてみてください。

UVMでは、デフォルトでuvm_recorderクラスを持っていますが、
Aldecのシミュレーションデータベースにトランザクションを記録するために、
uvm_recorderクラスを継承したuvm_recorder_aldecクラスを使っています。

検証、Verification、Aldec