Vengineerの妄想(準備期間)

人生は短いけど、長いです。人生を楽しみましょう!

AldecのActive-HDLは、SystemVerilogをサポートしている


AldecのRivera-PROはSystemVerilogをサポートしているが、Active-HDLはどうだろうか?
Active-HDL 8.3 Software ManualのSupported SystemVerilog Constructsにサポート状況が載っています。

制限はあるもののクラスはサポートしているようです。
programブロックもサポートしています。coverageやinterfaceも!

そして、SystemVerilogで私が最も大切なDPI-Cもサポートしています。
ただし、C/C++コードは付属のコンパイラ(ccomp)を使う必要があるようです。。。
でも、ModelSimのように別途MinGWC/C++コンパイラをインストールする必要が無いのはいいね!

検証、Verification、SystemVerilog、Aldec