Vengineerの戯言

人生は短いけど、長いです。人生を楽しみましょう!

OVM

OVM WorldがVerification Academyに統合

Verification Engineerの戯言 : Twitter SystemVerilogの世界へようこそ、すべては、SystemC v0.9公開から始まった OVM Worldが閉鎖され、Verification AcademyのUVM / OVM Verification Methodologyに統合されました。 OVM Forumやダウンロードファイル等も…

OVM 2.1.2 リリース

Verification Engineerの戯言 : Twitter、Twitter新聞:Daily Vengineer's SystemVerilogの世界へようこそ、すべては、SystemC v0.9公開から始まった なぜか、今頃、OVM 2.1.2がリリースされました。バグフィックスのようですが。。。 検証、Verification、S…

Parameterized Classes, Static Members and the Factory Macros

Verification Engineerの戯言 : Twitter、Twitter新聞:Daily Vengineer's SystemVerilogの世界へようこそ、すべては、SystemC v0.9公開から始まった 読んでいただける方々がいらっしゃいますので、今日もアップします。 Parameterized Classes, Static Memb…

OVMを使って、ファームウェアを検証!

Verification Engineerの戯言 : Twitter SystemVerilogの世界へようこそ、すべては、SystemC v0.9公開から始まった Verification HORIZONS 2010.11に、 Firmware Verification Using SystemVerilog OVMという記事があります。 著者は、Infineon SingaporeとM…

OVM Cookbook - PDF and Examples

Verification Engineerの戯言 : Twitter SystemVerilogの世界へようこそ、すべては、SystemC v0.9公開から始まった OVM Cookbook - PDF and Examplesにて、OVM cookbookのPDFと例題が公開されました。 検証、Verification、SystemVerilog、OVM、Open Verific…

OVMの事例

Verification Engineerの戯言 : Twitter SystemVerilogの世界へようこそ、すべては、SystemC v0.9公開から始まった 先週末(9/3:金)は、[EDA Tech Forum 2010 http://www.edatechforum.jp/]でしたね。行った方もいるのかな? 私は都合でセッションを聞きには…

OVM Configuration and Virtual Interface Extensions(その3)

Verification Engineerの戯言 : SystemVerilogの世界へようこそ、すべては、SystemC v0.9公開から始まった OVM Configuration and Virtual Interface Extensionsの続き、 じっくり学ぶためにドキュメント OVM CONFIGURATION AND VIRTUAL INTERFACES => docs/…

OVM : Layering Sequencesのビデオとライブラリ

Verification Engineerの戯言 : SystemVerilogの世界へようこそ、すべては、SystemC v0.9公開から始まった Verification Academy : Advanced OVM Moduleのビデオの ・Layering Sequences は、OVM 2.1.1のパッケージにあるライブラリだけを使っていません。 …

DAC2010 : OVM/UVM Update

Verification Engineerの戯言 : SystemVerilogの世界へようこそ、すべては、SystemC v0.9公開から始まった。 Verification AcademyのAdvanced OVM ModuleのResourcesのタグを表示すると、 OVM/UVM Update Presentation from DAC 2010というものがあります。D…

OVM 2.1.1は、最後の公式リリース

Verification Engineerの戯言 : SystemVerilogの世界へようこそ、すべては、SystemC v0.9公開から始まった ケイデンスのブログ、DAC Cabbie Taught Me All I Need to Know About Verificationによると、OVM 2.1.1が最後の公式リリースになったようです。 今…

OVM integration with SystemC TLM2 v1.1

Verification Engineerの戯言 : SystemVerilogの世界へようこそ、すべては、SystemC v0.9公開から始まった。 OVM integration with SystemC TLM2のv1.1が公開されました。 Example runs on Cadence IUS 9.2 s10 or later simulator ということです。 v1.0か…

OVM Configuration and Virtual Interface Extensions(その2)

Verification Engineerの戯言 : SystemVerilogの世界へようこそ、すべては、SystemC v0.9公開から始まった。 UVMでも基本的には、同じ。 OVM Configuration and Virtual Interface Extensionsから。 OVMにおけるConfigurationは、 function void set_config_…

OVM_RGM2.2 Release

Verification Engineerの戯言 : SystemVerilogの世界へようこそ、すべては、SystemC v0.9公開から始まった。 ケイデンスもOVM World Contributionに、OVM_RGM2.2 Releaseをリリースしました。 OVMなんだから、2つもあるのはちょっと。はやく、UVMとして統合…

OVM Sequence Layering - Easier Tests

Verification Engineerの戯言 : SystemVerilogの世界へようこそ、すべては、SystemC v0.9公開から始まった。 OVM World Contributionsに、OVM Sequence Layering - Easier Testsがアップされました。 内部でovm_register-2.0を使っています。 docs/regs_laye…

A Register Package for OVM - ovm_register-2.0 Release

Verification Engineerの戯言 : SystemVerilogの世界へようこそ、すべては、SystemC v0.9公開から始まった。 OVM World Contributionsに、A Register Package for OVM - ovm_register-2.0 Releaseがアップされました。 A Register Package for OVM - ovm_reg…

OVM Configuration and Virtual Interface Extensions(その1)

Verification Engineerの戯言 : SystemVerilogの世界へようこそ MentorがOVMにOVM Configuration and Virtual Interface Extensionsをアップしました。 また、Dennisさんのブログ(Mentorブログ)OVM Extensions for Testbench Reuseでも告知しています。 詳細…

OVM 2.1.1の調査

Verification Engineerの戯言 : SystemVerilogの世界へようこそ UVMがOVM 2.1.1になったので、OVM 2.1.1を再チェックしています。 ともかく、Reference ManualとUser Guideに目次をつけてほしい。 virtual_sequencerクラスは、OVM 2.0でdeprecatedになったが…

OVM Multi-language Release 2.1.1

Verification Engineerの戯言 : SystemVerilogの世界へようこそ OVM Multi-language Release 2.1.1がアップされています。 OVMのバージョン番号と同じになっています。 The OVM Multi-Language bundle contains methodology and open-source libraries for e…

OVM Golden Reference Guide

Verification Engineerの戯言 : SystemVerilogの世界へようこそ OVM Golden Reference Guideが公開されました。 本は、Doulosから$30で購入できます。 こちらは、Twitterで知りました。 検証、Verification、SystemVerilog、OVM、Open Verification Methodol…

OVM 2.1.1リリース

Verification Engineerの戯言 : SystemVerilogの世界へようこそ OVM 2.1.1が公開されました。 Bug Fixのようです。 今回は、Twitterで知りました。 P.S 詳細は、OVM 2.1.1 Now Ready for Downloadをチェックしてください。 検証、Verification、SystemVerilo…

Verification Academy : Just Released! OVM Basics

Verification Engineerの戯言 : SystemVerilogの世界へようこそ Verification Academyに(OVM) Open Verification Methodology Basics Moduleがリリースされました。 次の8つのセッションから構成されているようです。 引用 Constrained Random Verification …

OVM : 2.1 Online Document

Verification Engineerの戯言 : SystemVerilogの世界へようこそ OVM 2.1 Online Documentです。 このドキュメントと同じようなものは、NaturalDocs HTML Documentation Generatorを使うことで生成することができるようです。 そのためには、カクタムのオンラ…

OVM : OVM-SV and OVM-e to SystemC TLM2 Integration Example(その6)

Verification Engineerの戯言 : SystemVerilogの世界へようこそ トップ記述をOVM-SVとしたときに、SystemC TLM-2との接続は、次のように'''connect関数にて行います。 ml_ovm::connectを使って、OVM-SVのイニシエータポートとOVM-SCのターゲットポートを接続…

OVM : OVM-SV and OVM-e to SystemC TLM2 Integration Example(その5)

Verification Engineerの戯言 : SystemVerilogの世界へようこそ OVM-SVのtlm1_to_tlm2クラスのtransportは、次のようになっています。 引数のrequestと戻り値のresponseからtlm_generic_payloadクラスのインスタンス(tx)に変換し、 isocket->b_transport(tx,…

OVM : OVM-SV and OVM-e to SystemC TLM2 Integration Example(その4)

Verification Engineerの戯言 : SystemVerilogの世界へようこそ OVM-SVからは、sequence => sequencer => driver 経由で、 driverのポートからtransport(req,req)でアクセスします。 例題にあるドライバ(simple_driverクラス)は、次のように普通のOVMのドラ…

A Register Package for OVM - ovm_register-1.0 Release

Verification Engineerの戯言 : SystemVerilogの世界へようこそ A Register Package for OVM - ovm_register-1.0 Releaseです。 長い間ベータ状態でしたが、やっと正式リリースです。 このパッケージは、OVM 2.1に含まれると思っていましたが、 ケイデンスの…

OVM : Module-based approach

Verification Engineerの戯言 : SystemVerilogの世界へようこそ CadenceのプレスリリースCadence OVM SystemVerilog Solution Enables More Thorough Verification and Reduces Costs at Mitsubishi Electricによると、 OVMをModule-based approachで構築し…

OVM : OVM-SV and OVM-e to SystemC TLM2 Integration Example(その3)

Verification Engineerの戯言 : SystemVerilogの世界へようこそ OVM-SV/OVM-eは、TLM-2ではなく、TLM-1です (VMM 1.2は、TLM-2をサポートしましたが、OVM 2.1ではまだTLM-2はサポートしていませんので)。 そこで、SystemC側にTLM-1とTLM-2を変換するアダプタ…

OVM : OVM-SV and OVM-e to SystemC TLM2 Integration Example(その2)

Verification Engineerの戯言 : SystemVerilogの世界へようこそ OVM-SV/OVM-eとOVM-SC間のデータは、TLM-2のGeneric Payloadベースになります。 このGeneric PayloadをOVMのクラス(ovm_gp)として次のように定義しています。 OVM-SC: class ovm_gp : public o…

OVM : OVM-SV and OVM-e to SystemC TLM2 Integration Example(その1)

Verification Engineerの戯言 : SystemVerilogの世界へようこそ OVM WorldのWorld ContributionsにOVM integration with SystemC TLM2がアップされました。 ケイデンスによるOVM-SV and OVM-e to SystemC TLM2 Integration Exampleです。 ケイデンスのOVM-ML…