Vengineerの妄想(準備期間)

人生は短いけど、長いです。人生を楽しみましょう!

OVM

OVM 2.1 : callback

Verification Engineerの戯言 : SystemVerilogの世界へようこそ ソフトウェアでは、結構当たり前に使っているcallbackですが、 OVM 2.1にもcallback( ovm_callback )を追加されました。 ユーザガイドのPage.111~115と結構長めな説明があります。 この部分は…

OVM 2.1 : factory(ファクトリ)

Verification Engineerの戯言 : SystemVerilogの世界へようこそ OVMでのファクトリを用いたインスタンスの生成方法は、ユーザガイド(P.106のAbout the Factory)によると type_name::type_id::create(string name, ovm_component parent) になります。VMM 1.2…

OVM 2.1リリース

Verification Engineerの戯言 : SystemVerilogの世界へようこそ OVM WorldからOVM 2.1がダウンロード可能です(ユーザ登録必要) VMM 1.2 vs OVM 2.1 さて、どうなるか? 検証、Verification、SystemVerilog、OVM、Open Verification Methodology

OVM : Register Package 2.0.1

Verification Engineerの戯言 : SystemVerilogの世界へようこそ OVM WorldにAn OVM Register Package V 2.0.1が公開されました。 V1.1からのアップデートのようです。 ダウンロード数が1700オーバーになっています。すごい。。。なぜ? OVM : An OVM Regist…

OVM 2.0.3 リリース

Verification Engineerの戯言 : SystemVerilogの世界へようこそ OVM 2.0.3がリリースされました。 Reference Manualが333ページから384ページに、目次付きました。 メッセージマクロとして、 `ovm_info `ovm_warning `ovm_error `ovm_fatal が追加されました…

OVM : APBを例に

Verification Engineerの戯言 : SystemVerilogの世界へようこそ All of SystemVerilogに、ModelSim AEでOVMのトライアル:例題、APBをアップしました。 ともすけ趣味のツブヤキさんの成果をまとめたモノです(掲載了承済み) モデルのコードおよびサンプルシー…

OVM : my_randomizeを使う

Verification Engineerの戯言 : SystemVerilogの世界へようこそ ModelSim AEでは、randomize関数をサポートしていません。なので、OVMのovm_doマクロが使えません。 ワークアラウンドとして、All of SystemVerilogにmy_randomizeを使うをアップしました。 こ…

OVM : assign_vi

Verification Engineerの戯言 : SystemVerilogの世界へようこそ Is "assign_vi" the only way to pass interface to the components from environment??の中で メンターのDaveさんが興味深い例をアップしていた。 上記のOVM Forumのスレッドからの引用 class…

OVM : DACのプレゼンテーション資料(その3)

Verification Engineerの戯言 プレゼンテーション資料の nSys presents: Plug-and-Play the World's Largest Verification IP Portfolio in an OVM Environmentでは、nSYS社のVIPについて説明しています。 最近、かなりの種類のVIPをそろえてきたnSYS。 実は…

OVM : DACのプレゼンテーション資料(その2)

Verification Engineerの戯言 プレゼンテーション資料のFujitsu Labs presents: Improve Verification Productivity and Quality using OVM AMIQ presents: OVM Support in DVT Eclipseでは、EDA Forumの内容のDAC版です。 当然、英語ですが、うー、英語でプ…

OVM : DACのプレゼンテーション資料(その1)

Verification Engineerの戯言 OVM WorldにOVM World DAC Booth Presentations Now Availableとしてプレゼンテーション資料がアップされました。 ただし、OVM Roadmap以外はOVM Worldにログインが必要。 プレゼンテーション資料のAMIQ presents: OVM Support …

OVM : An OVM Register Package V 1.1(その5)

Verification Engineerの戯言 例題のIP-XACTファイルに対して、OVMのクラスは次のように対応しているようです。 トップ階層からの<spirit:name>rgm_example_rdb</spirit:name> => class rgm_example_rdb extends rgm_rdb トップ階層からの<spirit:memoryMaps> <spirit:memoryMap> <spirit:name>xa0</spirit:name> <spirit:vendorExtensions> <vendorExtensions:type>xbus_am</vendorextensions:type></spirit:vendorextensions></spirit:memorymap></spirit:memorymaps>

OVM : DACでの富士通研の事例

Verification Engineerの戯言 EDA Expressに 【DACの話】富士通研、CedarとOVMを組み合わせて検証品質/検証効率を向上 がアップされました。 その中で、私のブログ(2009/9/14):メンターのセミナー(DA Tech Forum 2009)、 OVM : 富士通研究所の事例が紹介さ…

OVM : An OVM Register Package V 1.1(その4)

Verification Engineerの戯言 例題の変換ツールで出力されるコードでは、つぎのようなクラスが出力されます。 class xbus_data_reg extends rgm_reg; class xbus_config_reg extends rgm_reg; class xbus_rf_type extends rgm_reg_file; class xbus_am exten…

OVM : OVC Compliance Checklist

Verification Engineerの戯言 ケイデンスがOVC Compliance ChecklistをOVM Worldにアップしました。 OVM 2.0.2対応です。 チェックリストだけだとちょっとつらいのでツールが出ないのかなーと思って、よーく読んでみたら、 同じようなこと(全く同じではない…

OVM : ModeSim AEでrandomizeが使えないエラーの対応

Verification Engineerの戯言 ModelSim AEのでOVMを使うときに、vsimコマンドで次のようなエラーが発生したときの対応を All of SystemVerilogにアップしました。 # ** Fatal: hierarchy.sv(107): Unable to check out verification license for randomize()…

OVM : ModelSim AE & MINGW32/MSYS & OVM

Verification Engineerの戯言 All of SystemVerilogにModelSim AEでOVMを使ってみるを追加しました。 ご利用ください。 ModelSim AEをMINGW32/MSYS上で動作させれば、OVMを簡単に使えます。 検証、Verification、SystemVerilog、OVM、Open Verification Meth…

OVM : An OVM Register Package V 1.1(その3)

Verification Engineerの戯言 変換ツールを使った例題は、 examples/ipxact_exampleを WindowsXP SP3 + MinGW 5.1.3 + Sun Java(6 Update13)環境 で実行してみました。 java -jar $REGMEM_HOME/builder/ipxact/regmem_ipxact2sv_parser.jar \ -i $REGMEM_HOM…

OVM : 富士通研究所の事例

Verification Engineerの戯言 日経の小島さんが記事にするまで待とうと思いましたが、どうやら、その気配がない。 メンターのセミナー(DA Tech Forum 2009)の OVMを用いた検証の生産性と品質向上 by (株)富士通研究所 高山浩一郎氏 では、富士通が開発したCe…

OVM : An OVM Register Package V 1.1(その2)

Verification Engineerの戯言 IP-XACTファイルからreg_memのSystemVerilogコードに変換するには、次のようなコマンドを実行します。 % java -jar $REGMEM_HOME/builder/ipxact/regmem_ipxact2sv_parser.jar \ -i <ipxact_register_model_file_name> [-o <output_sv_file_name>] \ [-ve [none | internal | external]</output_sv_file_name></ipxact_register_model_file_name>…

OVM : An OVM Register Package V 1.1(その1)

Verification Engineerの戯言 An OVM Register Package V 1.1からダウンロードしたパッケージを展開すると、 ドキュメントには、docs/reg_mem_package.pdfにストアされています。 このMemory & Registerのパッケージをreg_mem Packageを呼んでいます。 この…

OVM : 2.1の内容は?

Verification Engineerの戯言 MentorのDACでの講演内容のビデオが公開されました。 ただし、メンターのSupportNetのアカウントが必要です。 TomさんのOVM関連のビデオでは、OVM 2.1と2.2に関する内容がありました。 今回は、OVM 2.1で提供されるMemory & Reg…

OVM : Three OVM Books Now Available

Verification Engineerの戯言 OVM WorldによるとThree OVM Books Now Availableのようです。 ・ Open Verification Methodology Cookbook by Mark Glasser ・ Step-by-Step Functional Verification with SystemVerilog and OVM by Sasan Iman ・ OVM Golden…

OVM at DAC 2009

Verification Engineerの戯言 OVM at DAC 2009: Moscone CenterにDACでのOVM関連スケジュールが載っています。 4日間で、24セッションもあります(多少、重複しているものもありますが) Sunburst Design & Sutherland HDL present: SystemVerilog-2009 - Enha…

OVM 2.0の日本語ユーザーガイド

Verification Engineerの戯言 OVM 2.0の日本語ユーザーガイドが公開されていたのですね! 気がつかなかった。 検証、Verification、SystemVerilog、OVM、Open Verification Methodology

Open Verification Methodology Cookbook 出版される!

Verification Engineerの戯言 Open Verification Methodology Cookbookが米アマゾン7月15日に出版されると出ています。 今なら円高なので、いいかも! 検証、Verification、SystemVerilog、OVM、Open Verification Methodology

Quick intro to OVM ports, exports and imps

Verification Engineerの戯言 OVMのportとexportに関するブログ:Quick intro to OVM ports, exports and imps 例題もあります。結構長いのが、 たとえば、 ovm_blocking_put_port#(tr) put_port; <=> ovm_blocking_put_imp#(tr,b) put_imp; connect部 : a_…

PW OVM Scoreboard Release 1_1

Verification Engineerの戯言 Paradigm WorsksからPW OVM Scoreboard Release 1_1がリリースされました。 SourceForge:SystemVerilog FrameWorksで管理されています。 ライセンスは、Apache 2.0です。 前回は、Paradigm Works : OVM Scoreboardでした。 検…

Managing an adaptive verification environment with OVM

Verification Engineerの戯言 EEDesignにOVMのConfigurationに関する論文Managing an adaptive verification environment with OVMがアップされました。 STMicroelectronicsとCadenceの共著です。 Static ConfigurabilityとRun-Time Configurabilityについて…

HTML OVM Class Library Documentation Now Available

Verification Engineerの戯言 OVM 2.0.2のドキュメント(HTML版)がOVM Worldにアップされました。 検証、Verification、SystemVerilog、OVM、Open Verification Methodology