Vengineerの妄想(準備期間)

人生は短いけど、長いです。人生を楽しみましょう!

VMM : ModelSim XEでVMMを動かす(その1)

Verification Engineerの戯言 : SystemVerilogの世界へようこそ

OVM WorldからダウンロードできるVMM 1.1.1aは、MentorのQuesta 6.4bで動作します。

ModelSim XE Edition-IIIは、ModelSim 6.4bベースなのでこのVMM-1.1.1aが動作するかどうかを確かめました。

結果、多少の作業は必要ですが、とりあえず、VMMが動くことを確認できました。

ただし、ModelSimでは、
    ・ program
  ・ 制約時ランダム生成(randomize関数)
  ・ カバレッジ(cover)
  ・ アサーション(SVA : SystemVerilog Assertion)
は、サポートされていませんので、一部コードの修正が必要です。

詳細は、明日。

検証、Verification、Mentor、SystemVerilog、VMM、Verification Methodology Manual