Vengineerの妄想(準備期間)

人生は短いけど、長いです。人生を楽しみましょう!

Mentor : ModelSimでrandomize関数をサポートして!

Verification Engineerの戯言 : SystemVerilogの世界へようこそ

ModelSim AE/XE/PE/DE/LE/SEでは、SystemVerilogの
    ・programブロック
    ・制約付きランダム生成(randomize)
    ・カバレッジ(cover)
    ・アサーション(SVA : SystemVerilog Assertion)
はサポートしていません。

このうち、programブロックと制約付きランダム生成をサポートサポートしてくれれば、
OVMとVMMが利用できるのに。。。

そこでお願いです。

Mentorさん、

ModelSimでprogramブロックと制約付きランダム生成 をサポートしてください


検証、Verification、Mentor