Vengineerの妄想(準備期間)

人生は短いけど、長いです。人生を楽しみましょう!

2009-12-14から1日間の記事一覧

SystemVerilog : SV-2009 New Features、`__FILE__ & `__LINE__ Macros

Verification Engineerの戯言 : SystemVerilogの世界へようこそ C言語と同じように、 `__FILE__ : 現在のファイル名 `__LINE__ : 現在のライン数 が追加されました。 検証、Verification、SystemVerilog