Vengineerの妄想(準備期間)

人生は短いけど、長いです。人生を楽しみましょう!

SystemVerilog : SV-2009 New Features、Queue Detele

Verification Engineerの戯言 : SystemVerilogの世界へようこそ

Queueにエントリを削除するdeleteが追加されました。

最初の1つのエントリを削除するには、.delete(1)
すべてのエントリを削除するには、.delete()をコールすればいいようになりました。

ちなみに、Dynamic arraysとQueuesのサイズは、.size()
Associative arrays(連想配列)のサイズは、.num()でしたが、
SV-2009では、.size().num()、どちらでもOKとなります。

検証、Verification、SystemVerilog