Vengineerの妄想(準備期間)

人生は短いけど、長いです。人生を楽しみましょう!

無償ツールで実践する「ハード・ソフト協調検証」(4) ―― SystemVerilog側のDPI-Cの記述を作成する

Verification Engineerの戯言



今回(第4回)は、
    9.Avalon BFMをC言語のテスト・プログラムから使えるようにする
      ・dpi_main
     ・bfm_write32,bfm_write16,bfm_write8
    ・bfm_read32, bfm_read16, bfm_read8
      ・bfm_nop
です。

検証、Verification、SystemVerilog、DPI-C、ModelSim、CQ出版