Vengineerの妄想(準備期間)

人生は短いけど、長いです。人生を楽しみましょう!

無償ツールで実践する「ハード・ソフト協調検証」(1) ―― SystemVerilogのDPI-C機能

Verification Engineerの戯言



SystemVerilogのDPI-Cの機能を使って、Altera社のAvalon Verification IPをC言語から利用できるようにするというものを8回にわたって連載します。

今回は、第1回ということで、
    前置きとして、
   このブログとAll of SystemVerilogの紹介
   ・無料の環境で新しい検証手法を試せる
   ・複数言語の併用に起因する問題を解消したSystemVerilog
  に続き、次のようなDPI-Cについての説明をしています。

    1.DPI-Cは,なぜ重要なのか?
    2.DPI-Cとは?
です。

検証、Verification、SystemVerilog、DPI-C、ModelSim、CQ出版