Vengineerの妄想(準備期間)

人生は短いけど、長いです。人生を楽しみましょう!

vmm_vxc_managerクラスの実装(その3)

Verification Engineerの戯言

vmm_xvc_tcl_execute_file関数は、vmm_xvc_managerクラスからどのように呼ばれるのでしょうか?

README.txtに次のように書いてありました。
    When used on a non-VCS simulator, it will be necessary to include the
    file $VMM_HOME/sv/std_lib/vmm_str_dpi.c to supply the regular
    expression string matching library. Similarly, it may be necessary to
    include the file $VMM_HOME/sv/std_lib/vmm_xvc_dpi.c to supply the XVC
    command parsing and execution library. Please refer to your
    simulator's documentation on how to include a DPI library.
そうです。VCSでは、DPI-Cをつかわないのです。
VCS以外、QuestaなどではDPI-Cを使って'vmm_xvc_tcl_execute_file関数をインポートします。
こんな感じに、
    import "DPI-C" function void vmm_xvc_tcl_execute_file( input string testfile );

検証、Verification、SystemVerilog、VMM、Verification Methodology Manual