Vengineerの妄想(準備期間)

人生は短いけど、長いです。人生を楽しみましょう!

OVM : 2.0.1 ?

Verification Engineerの戯言

OVM Forumのスレッド:Where is ovm v2.0.1 package?によると、
Questa 6.4bにOVM 2.0.1がプリコンパイルされている模様です。

他のスレッド、OVM examples error in GUIでも、Questa 6.4bではovm-2.0.1になっています?

検証、Verification、SystemVerilog、OVM、Open Verification Methodology