Vengineerの戯言

人生は短いけど、長いです。人生を楽しみましょう!

Maximizing Your Investment in the UVM


EETimesにて、Maximizing Your Investment in the UVMというWebセミナーあります。

ユーザー登録の必要有りですが、CadenceのUVMについて教えてくれるようです。
そして、UVM Reference Flowについても。

UVM 1.0のドラフトレビュー中で、1月20日頃には正式承認され、EDSFair2011にはリリースされているでしょう!

検証、Verification、SystemVerilog、UVM、Unified Verification Methodology、Cadence