Vengineerの妄想(準備期間)

人生は短いけど、長いです。人生を楽しみましょう!

2008-12-01から1ヶ月間の記事一覧

vmm_vxc_managerクラスの実装(その10)

Verification Engineerの戯言 vmm_vxc_managerクラスのINTERRUPTコマンドを解釈するtry_interrupt関数を見てみましょう! 基本的には、try_action関数と同じです。 function bit vmm_xvc_manager::try_interrupt(); // 途中、略 //parse cmd and extract inf…

Can VCS use the OVM-2.0 library?

Verification Engineerの戯言 Verification GuildにCan VCS use the OVM-2.0 library?という質問が投げられました。 MentorのDaveさんの回答は、OVMで使っている記述をVCSがサポートしていないということでした。 その根拠は、SCDSourceの記事Mentor, Cadenc…

DEATH RACE(2008)

映画好きの戯言 デス・レース/DEATH RACE(2008)は、デス・レース2000年/DEATH RACE 2000(1975)のリメイク。 オリジナルの製作であるロジャー・コーマンは、B級映画の製作では有名人。 今回リメイクされたこの「DEATH RACE」はB級映画を遙かに超えていま…

A VMM to OVM migration library

Verification Engineerの戯言 CadenceがA VMM to OVM migration libraryをOVM Worldにて公開しました。 ドキュメントとしては、次の2つが含まれています。 ・Migrating Legacy VMM Testbenches to the OVM ・OVM-VMM Encapsulation Library Reference Guide …

今週の映画鑑賞、11/30-12/06

映画好きの戯言 ニュースの天才/SHATTERED GLASS(2003)(GyaO) 主役のヘイデン・クリステンセンは、ジャンパーの主役も演じている。 記者ケイトリン・アヴィー役のクロエ・セヴィニーは、シスターズでグレース・コリエを演じていました。 Stephen Glass (repo…

vmm_xvc_managerの使用方法

Verification Engineerの戯言 大人の事情でVMMをもう一度で、vmm_xvc_managerの使い方を説明しています。 次は、test_senario_fileファイルに記述するわけですが、 各コマンドを理解するxvc_actorを開発することになります。 検証、Verification、SystemVeri…

Public Review for SystemC AMS Draft 1 Standard

Verification Engineerの戯言 SystemC AMS extensionsのPublic Review for AMS Draft 1 Standardが開始されました。 EDAOnlineの【DAC 2008】SystemCのOSCI,アナログ/アナ-デジ混在拡張仕様の概要を公表では、概要を公開していましたが、今回はLRMとサンプ…

vmm_vxc_managerクラスの実装(その9)

Verification Engineerの戯言 vmm_vxc_managerクラスのACTIONコマンドを解釈するtry_action関数を見てみましょう! function bit vmm_xvc_manager::try_action(); //途中、略 //parse cmd and extract information. //syntax is of the form: // A[CTION] <instance> <action> </action></instance>…

Synopsysが独ProDesign社プロトタイプボード「CHIPit」事業部を買収

Verification Engineerの戯言 Synopsysが独ProDesign社プロトタイプボード「CHIPit」事業部を買収しました。 Synplicity(HARP)とこのCHIPitが統合されると、どのようなサービスが提供されるのだろうか? そして、VMM-HALでこれらを利用することできるのは、…

newについて

Verification Engineerの戯言 SystemVerilogのnewについて、 module test; class C_A; endclass : C_A initial begin C_A a; a = new; $display("finish"); end endmodule : test をModelSim XE 6.3cで確認したら、newが無くても動く! 検証、Verification、…

Parameterized classes

Verification Engineerの戯言 SystemVerilogのparameterized classには、2種類、あります。 1つ目は、サイズ等をパラメータ化するときです(これをvalue parameterと呼びます)。 下記の例のvectorクラスでは、クラスメンバーのaのサイズを指定するために パラ…