Verification Engineerの戯言
SystemVerilogのnewについて、
module test; class C_A; endclass : C_A initial begin C_A a; a = new; $display("finish"); end endmodule : testをModelSim XE 6.3cで確認したら、newが無くても動く!
検証、Verification、SystemVerilog