Vengineerの妄想(準備期間)

人生は短いけど、長いです。人生を楽しみましょう!

A Mentor OVM/VMM Interoperability library

Verification Engineerの戯言

MentorのOVM/VMM Interoperability libraryもOVM Forumにて公開されています。
このライブラリを使うには、OVM 2.0.1とQuesta 6.4a以降が必要のようです。

VMM-1.0.1-D.tar.gzをダウンロードし、解凍すると、
オリジナルのOpen Source VMM 1.0.1には無い次のようなファイルがあります。
    ・QUESTA.pdf
    ・QUESTA.html
QUESTA.pdfファイルは、Using the VMM with Questaというタイトルの文書で、
    ・インストールと例題の実行
    ・オリジナルOpen Source VMMからの変更点
  ・Why Choose OVM over VMM?
が書いてあります。

変更点をよーく見ると、VCSでのSystemVerilogの対応状況がそれなりに分かります。

QUESTA.htmlファイルで、Mentorが提供しているライブラリとして、
    Adapters
        vmm_channel_adapter
        vmm_atomic_gen_adapter
        vmm_scenario_gen_adapter
        vmm_driver_adapter
        vmm_generator_adapter
        vmm_scenario_adapter
        ovm_passthru_converter

    Encapsulated Xactors
        ovmm_atomic_gen
        ovmm_scenario_gen
についての説明です。

詳細はいずれ見ていきますが、
CadenceのA VMM to OVM migration libraryで提供されているライブラリと違います。

1つのOVMなのに、VMMとのInteroperabilityが2種類あるのは、どうなんだろうか? 困った。

検証、Verification、SystemVerilog、VMM、OVM、Verification Methodology Manual、Open Verification Methodology