Vengineerの妄想(準備期間)

人生は短いけど、長いです。人生を楽しみましょう!

Impulse C、再び



このブログでも、Impluse Cで書きました。

Impulse Cでは、ANSI-C言語ベースに、process関数とco_stream型を使って記述します。

画像処理や通信処理などのパイプライン的なものには非常にあうと思います。

本記事にある事例でも、画像処理IPや画像処理ボードの紹介もあります。

Impulse Cはインターリンクが代理店です。
(参考資料情報も結構あります)

FPGA用のC => HDLとしては、Impulse Cって、いいかもしれません。

Altera/XilinxがARM入りデバイスを出してきて、Impulse Cもサポートしたら、おもしろそう!

検証、Verification、HLS、FPGA

========