Vengineerの妄想(準備期間)

人生は短いけど、長いです。人生を楽しみましょう!

2011-01-12から1日間の記事一覧

2010 FPGA Journal Survey Results on Verification and Debugging

Verification Engineerの戯言 : Twitter SystemVerilogの世界へようこそ、すべては、SystemC v0.9公開から始まった GateRocketに2010 FPGA Journal Survey Results on Verification and Debuggingというものがあります。 PDFファイルで7ページもの。 FPGA開…

本日、90000訪問者を達成しました。

Verification Engineerの戯言 : Twitter SystemVerilogの世界へようこそ、すべては、SystemC v0.9公開から始まった 昨日の予測では、明日と思っていましたが、本日の訪問者が131を越えたので! (前回より、またまた、ちょっとだけ、早くなりました。年末年始…