Vengineerの妄想(準備期間)

人生は短いけど、長いです。人生を楽しみましょう!

2022-07-13から1日間の記事一覧

Xilinx Vitis の中を調べる(その10)

はじめに Xilinx Vitis の中を調べるのその10。 sv_module_top の続き。今回は、 uvm_config_db の中で指定している uvm_test_top.top_env について、みていきます。 uvm_test_top.top_env は? uvm_test_top.top_env は、svtb/multi_apuint_test_lib.sv …