Vengineerの戯言

人生は短いけど、長いです。人生を楽しみましょう!

2023-09-16から1日間の記事一覧

cocotb は、VPI, VHPI, FLI と HDL Simulatorと繋がっている

はじめに PythonでHDLのテストベンチを書いて、シミュレーションをするという cocotb をざっくり眺めてみました。 cocotb ドキュメントをざーと眺めてみて、わかったこと。 - VPI, VHPI, FLI にて、HDL Simulatorと接続している ということで、下記の4社の市…