Vengineerの妄想(準備期間)

人生は短いけど、長いです。人生を楽しみましょう!

OVM 2.0 : ovm_push_sequencer/ovm_push_driver

Verification Engineerの戯言

OVM 2.0では、ovm_sequencer/ovm_driverの他に、ovm_push_sequencer/ovm_push_driverがあります。
ovm_sequencer/ovm_driverでは、ovm_driverがpullするのに対して、
ovm_pushd_sequencer/ovm_push_driverでは、ovm_push_sequencerがpushするのです。
    ovm_push_sequencerがreq_portに対して、putする

    req_port.put(item)
ovm_push_driverを継承するクラスでputタスクを実装する必要があります。

そして、ovm_pushd_sequencer/ovm_push_driverは、次のようにconnect関数でポートを接続します。
    sequencer.req_port.connect(driver.req_export);
    driver.rsp_port.connect(sequencer.rsp_export);

検証、Verification、SystemVerilog、OVM、Open Verification Methodology