Vengineerの妄想(準備期間)

人生は短いけど、長いです。人生を楽しみましょう!

2007-09-17から1日間の記事一覧

mailbox

Verification Engineerの戯言 SystemVerilogでは、組み込みクラスとしてmailboxが使えます。 使えるメソッドは、次の8つ。。 function new(int bound = 0); function int num(); task put( singular message); function int try_put( singular message); tas…