Vengineerの妄想(準備期間)

人生は短いけど、長いです。人生を楽しみましょう!

2007-09-20から1日間の記事一覧

finalは、いつ呼ばれるか?

Verification Engineerの戯言 SystemVerilogには、final blockが導入されました。 final blockは、initial blockと同じように記述しますが、 functionのようにゼロ時間で実行されるので、時間待ち等の記述は使えません。 final blockは、$finsihシステムタス…