Vengineerの妄想(準備期間)

人生は短いけど、長いです。人生を楽しみましょう!

2007-09-21から1日間の記事一覧

struct(構造体)が使える!

Verification Engineerの戯言 SystemVerilogでは、C言語の構造体と同じようなstructが使えます。 クラスがあるので、構造体は必要ないかもしれませんが、 構造体を初期化しないとどうなるのでしょうか? ModelSim XEでは、intは"0"、stringは""(NULL)でした。…