Vengineerの妄想(準備期間)

人生は短いけど、長いです。人生を楽しみましょう!

2009-08-10から1日間の記事一覧

Bluespec SystemVerilog : モジュールの宣言

Verification Engineerの戯言 Bluepsec SystemVerilogのモジュールは、次のような感じ。ほとんど、SystemVerilogと同じ。 identifier(識別子)は、mkFooのようにmkで始め、次の文字を大文字にします。 (mkは、どうやらmakeを意味するようです)。 モジュールの…