Vengineerの妄想(準備期間)

人生は短いけど、長いです。人生を楽しみましょう!

2009-08-18から1日間の記事一覧

Bluespec SystemVerilog : インターフェースの定義

Verification Engineerの戯言 インターフェースの定義(実際は、methodの定義)は、モジュールの定義の中で行います。 メソッドの名前は、モジュールのインターフェースで宣言したものと同じでなければいけません。 戻り値や引数の数および型も同じでなければ…