Vengineerの妄想(準備期間)

人生は短いけど、長いです。人生を楽しみましょう!

2009-08-31から1日間の記事一覧

Bluespec SystemVerilog : StmtFSMパッケージ(その2)

Verification Engineerの戯言 StmtFSMパッケージには、次のようなモジュールが定義されています。 ・mkFSM ・mkFSMWithPred ・mkAutoFSM ・mkOnce 各モジュールの定義は、 module mkFSM#(Stmt seq_stmt)(FSM); module mkFSMWithPred#(Stmt seq_stmt, Bool pr…