Vengineerの妄想(準備期間)

人生は短いけど、長いです。人生を楽しみましょう!

2009-11-25から1日間の記事一覧

SystemVerilog : SV-2009 New Features、$sformatf Returns a Formatted String

Verification Engineerの戯言 : SystemVerilogの世界へようこそ SV-2005では、$sformatシステムタスクで$printfシステムタスクで出力するフォーマットを作成することができます。 $sformatシステムタスクでは、第1引数にフォーマットの文字列をしていします…