Vengineerの妄想(準備期間)

人生は短いけど、長いです。人生を楽しみましょう!

SystemVerilog : SV-2009 New Features、$sformatf Returns a Formatted String

Verification Engineerの戯言 : SystemVerilogの世界へようこそ

SV-2005では、$sformatシステムタスクで$printfシステムタスクで出力するフォーマットを作成することができます。
$sformatシステムタスクでは、第1引数にフォーマットの文字列をしていします。

SV-2009で追加された$sformatfシステムタスクでは、
フォーマットの文字列は、第1引数ではなく、戻り値になります。
($psprintfシステムタスクも提案されたようですが、却下ということになったようです)

検証、Verification、SystemVerilog