Vengineerの妄想(準備期間)

人生は短いけど、長いです。人生を楽しみましょう!

SystemVerilog : SV-2009 New Features、$fatal/$error/$waring/$info Display Tasks

Verification Engineerの戯言 : SystemVerilogの世界へようこそ

SV-2005では、$fatal/$error/$warning/$infoシステムタスクは、アサーション部分にだけ使用できましたが、
SV-2009では、$displayシステムタスクが使える場所であれば、どこでも使えるようになりました。

これも、一部のシミュレータでは既に対応しています。

検証、Verification、SystemVerilog