Vengineerの妄想(準備期間)

人生は短いけど、長いです。人生を楽しみましょう!

2011-04-28から1日間の記事一覧

UVM 1.0 : Objection mechansm debugとは?

Verification Engineerの戯言 : Twitter SystemVerilogの世界へようこそ、すべては、SystemC v0.9公開から始まった uvm_componentクラスを継承したクラスがrun_phaseタスクを実装した場合、 下記のように最初phase.raise_objection(this)と最後phase.drop_ob…